APP下载

高精度电子束光刻技术在微纳加工中的应用

2017-06-01胡超王兴平尤春孙锋

电子与封装 2017年5期
关键词:掩模光刻机光刻胶

胡超,王兴平,尤春,孙锋

(1.无锡中微掩模电子有限公司,江苏无锡214135;2.中国电子科技集团公司第58研究所,江苏无锡214072)

高精度电子束光刻技术在微纳加工中的应用

胡超1,王兴平1,尤春1,孙锋2

(1.无锡中微掩模电子有限公司,江苏无锡214135;2.中国电子科技集团公司第58研究所,江苏无锡214072)

对电子束光刻系统的原理以及在微纳加工领域的应用进行了讨论。首先对光刻系统的工作原理进行了阐述。然后讨论了电子束光刻的关键工艺,如光胶的选择、剥离工艺的优化以及邻近效应对图形的影响及修正方法。由于电子束光刻在科研领域展现了巨大的潜力,因此吸引了许多学者的注意。最后,举例介绍了电子束光刻在生物医学和硅光电子上的应用。

电子束光刻;微纳加工;纳米电子器件

1 引言

光刻(Lithography)是集成电路制造的基础工艺。通过光刻,将电路图形转印到硅芯片上。摩尔定律[1]推动了大规模集成电路和微光刻技术的发展,随着摩尔定律不断地推进,越来越逼近技术临界点,器件的特征尺寸越来越小。2010年iPhone4搭载的处理器芯片已经是基于45 nm,2017年制造工艺节点将突破个位数,特征尺寸将小于10 nm,甚至预计到2020年有望突破5 nm工艺节点[2]。光刻技术是集成电路制造的关键技术,其成本是整个芯片制造过程中最为昂贵的。目前主流光刻技术仍是传统的光学曝光技术,但是由于光的衍射极限,光学曝光的分辨率取决于工艺参数、入射波长以及光学系统数值孔径NA。为了提高分辨率,可以提高NA值、采用更小波长的光源。但是随着特征尺寸的减小,光学曝光技术将面临巨大的挑战,因此需要寻找下一代光刻技术如X射线曝光技术、电子束曝光技术和极紫外曝光技术等。

电子束光刻技术是目前已知分辨率最高的光刻技术,分辨率已经到了10 nm以下,足够满足目前任何工艺的分辨率要求[3]。直写式电子束光刻机的原理是将聚焦的电子束光斑直接打在光刻胶上形成图形。直写式不需要光刻掩模板,大规模集成电路的光刻掩模成本很高,因此无掩模直写可以大大减少集成电路生产成本。电子束光刻机电子射线波长低,所以无需考虑衍射效应。但由于电子束光刻机曝光速率慢,很难在大规模大批量的生产中得到应用。电子束光刻机目前在集成电路制造中主要用于高精度光刻掩模板和相移掩模的制作。电子束光刻机在微纳加工领域有着极为广泛的应用,如微光学、微机电系统和特种纳米器件等。而且与电子束光刻搭配的干法蚀刻与湿法蚀刻技术比起来,更容易形成良好的纳米结构。因此在微纳器件领域无论是加工工艺还是科研都离不开电子束光刻。本文围绕直写式电子束光刻机,讨论电子束光刻机的原理、关键技术及其应用。

2 电子束光刻系统

电子束光刻技术起源于扫描电镜,是基于聚焦电子束扫描原理的图形转印技术[4]。聚焦电子束射线波长很短,当加速电压达到15~20 kV时,电子波波长达到了0.007~0.01 nm[5]。电子束束斑尺寸很小,从电子束光刻机发明以来,分辨率就一直不是问题。电子束光刻机的主要问题还是生产率低。因此如何提高电子束光刻机的生产率是研究电子束光刻技术的主要方向。

电子束光刻系统由电子枪、电子光柱体、电子束发生器、真空系统及工件台控制系统组成,如图1所示。电子发射源用于产生能被控制和聚焦的电子,根据工作方式不同一般分为热电子源(thermionic sources)和场发射源(field emission sources)。热电子源是将阴极加热到足够高的温度,阴极材料中的电子能够获得足够多的动能,使得电子能够突破电子枪金属功函数的势垒而发射出来形成电子束。而场发射源是通过加强电场,使得电子隧穿势垒形成电子源。电子发射源出射的电子束的聚焦和偏转是在电子光柱体中完成的,电子光柱体由一系列的电子透镜、光阑、挡板等装置组成。电子通过光阑成型,经过电子透镜会聚成束斑,经过偏转系统则可以在工作台上进行曝光。

电子束光刻系统的主要工作原理是将聚焦电子束斑点在机台上移动,主要有两种扫描方式:光栅扫描和矢量扫描[6]。如图2所示,光栅扫描方式下电子束逐点扫描,可以通过控制电子束的开关来进行图形的曝光。这种扫描模式是连续不间断的,而且和图形分布无关。光栅扫描模式是逐点扫描的,因此曝光相对稳定,但是曝光速率则可能比较慢。如果要提高曝光分辨率,束斑尺寸相应地要减小,因此需要更长的曝光时间。如图2所示,矢量扫描方式与光栅扫描不同的是,矢量扫描只在图形区域进行曝光,减少了镜头在非图形区域所花费的时间,因此和光栅扫描相比曝光时间减少了。在矢量扫描模式下,图形的曝光时间与束斑投射次数有关。如图3所示,在固定点束斑模式下,我们需要24次投射。为了加快曝光速率,图形可分解为最小基本图形的组合,以这种最小基本图形作为电子束斑的形状[7]。在这种修正束斑模式下只需要6次投射就可以了,减少了曝光时间。但是在实际生产过程中图形不是一成不变的,需要经常重设基本束斑形状,因此需要一种更加灵活的投射方式。一种束斑可变的模式能够应用于图形多样化的情况[8,9]。如图3所示,在可变束斑模式下,电子束斑会根据具体的图形进行调整,改变束斑的基本形状,因此将投射次数减少到了3次。

图1 电子束光刻系统结构示意图

图2 光栅扫描和矢量扫描

图3 3种不同束斑模式

电子束光刻配套的干法刻蚀和薄膜沉积工艺,在制备纳米电子器件中表现出巨大的优势。直写式电子束光刻无需昂贵的掩模板,而且相对于传统光刻有着非常高的分辨率。但是不同于投影式的曝光,直写式电子束曝光机是在图形区域内逐点扫描,遇到图形复杂的情况,曝光比较费时。因此在集成电路的工艺过程中,电子束光刻只用于关键层的曝光以及高精度掩模板的制备。但是电子束光刻机在微纳加工领域,特别是在科学研究中应用相当广泛。

3 电子束光刻的关键工艺技术

3.1 电子束光刻胶

光刻胶或者抗蚀剂(Photo Resist)由感光树脂、增感剂和溶剂3种主要成分组成。感光树脂在经过激光或者电子束曝光后会发生光固化反应,使得曝光区域内的树脂物理性能如溶解性、亲合性等会发生明显变化。本节主要介绍电子束光刻剂所用的电子束光刻胶。电子束光刻要求抗蚀剂具有灵敏度高、对比度强以及抗干法刻蚀选择性等。最早使用的电子束抗蚀剂是聚甲基丙烯酸甲酯(polymethyl methacrylate, PMMA),这种光刻胶具有较强的稳定性、优异的分辨率和成本低等优点。PMMA虽然具有较高的分辨率,附着能力强但是灵敏度较差。化学放大型胶(chemically amplified resist,CAR)弥补了PMMA感光灵敏度的不足,提高了电子束曝光的效率。CAR中利用化学催化反应来放大辐射粒子带来的效应,有效地提高了光胶灵敏度,从而提升了产率[10]。对于CAR来讲,目前最主要的研究方向就是如何让CAR同时满足高分辨率、良好线宽毛糙度和高灵敏度。

光刻胶中的长链碳聚合物在接受到电子束曝光后,相领链上的碳聚合物接受电子束照射会产生位移,导致碳原子直接键合,这种过程称之为交联。交联度不同的分子在显影液中的溶解速度也不同,高度交联的分子溶解较快。根据曝光后光刻胶中是发生化学键断裂还是交联还可将电子束光刻胶分为正性胶和负性胶。

3.2 剥离工艺

剥离工艺是微纳加工图形转印中最关键的工艺步骤,剥离工艺往往不稳定,因此在工业生产中并不常用。可以通过增加单层胶的厚度或者使用双层胶来提高剥离的成功率。其中使用双层胶来提高剥离效率已经被大量报道[11~13]。Marcus[13]等人利用HSQ/PMMA双层光刻胶将工艺提高到了10 nm以下。该双层胶的结构如图4所示,首先在基底上先镀一层80 nm厚的PMMA950k牺牲层,然后再镀上一层30 nm厚的HSQ胶层。该结构的好处在于顶层HSQ具有高分辨率能力而底层的PMMA易于剥离,因此这种双层结构能提高剥离工艺的效率。

3.3 光学临近效应[14]

上文提到电子束光刻可以刻写高分辨率图形。然而电子在光胶和基板的散射效应会影响临近的图形,这种现象称之为临近效应。本节主要讨论临近效应产生的原因以及修正的方法。

电子束的能量很高,因此电子束射线的波长很小,以至于电子束的衍射效应带来的影响可以忽略不计。但正是由于电子束的粒子性很强,会在光胶中发生散射从而使得曝光区域附近的非曝光区域内的光胶发生曝光。当高能电子束照射到正性光胶上时,光胶中的分子链会发生断裂,该区域的溶解性变得易溶于显影液。目前在电子束光刻中,电子的能量一般为10~100 keV,这种电子束能在光胶中的可传播距离在10 μm以上,远远大于目前光刻胶的厚度。因此,高能电子能够轻而易举地穿透光刻胶层到达基底表面。当电子在光胶中传输和穿透光胶接触基底表面时,电子束一般发生两种散射,即前向散射和后向散射,如图5所示。前向散射是非弹性散射,电子与光胶或者基底中的原子外层电子发生碰撞,被碰撞的原子发生电离(或者在材料中产生二次电子)。如果碰撞发生在光胶的分子中,那么分子链就会发生断裂。由于是非弹性碰撞,因此电子的散射角度很小。后向散射是指电子与原子核发生弹性碰撞,这种碰撞会大幅度改变电子的运动轨迹,从而导致临近效应的产生。当主要电子在光胶中减速时,它们将能量转化为许多二次电子,能量约为2~50 eV左右。这些电子是光刻胶被曝光的主要原因。这些电子能量相对较低,因此在光胶中传播的距离只有数纳米,因此单个电子对临近效应的贡献几乎可以忽略不计。但是所有二次电子集合和发生前向散射的电子造成曝光区域的扩大是不可避免的,这也是电子光刻机提高分辨率的瓶颈。主要电子在损失其所有能量前所传播的距离是由电子所携带的能量和光胶材料决定的。特别的,如果入射电子能量越高,电子传播路线所受前向散射造成的影响越小。少量发生后向散射的电子的散射角随着电子能量的增强而增强。而且后向散射的影响与基底的关系也很大,基底材料的原子序数越小即原子核越小,后向散射带来的影响也越小。

图5 前向散射和后向散射

已经有很多方法来修正邻近效应带来的影响,如背曝修正、图形修正技术和曝光剂量修正技术。其中曝光剂量修正技术即对每个像素点的曝光剂量进行修正,这种方法并不适用于直写式电子束光刻系统。图形修正方法中,并不需要对曝光剂量进行修正,使用单一的曝光剂量即可,因此被目前的直写式电子束光刻系统所采用。通过修正原有图形,使得经过曝光显影后的图形尽可能和设计的图形接近。背曝技术则是利用二次曝光来修正图形,二次曝光采用与原有设计相反的图形。

在电子束光刻系统中,电子散射效应是目前电子束光刻系统制备高分辨率图形主要的难点。如图5所示,是否对邻近效应进行修正对显影出来的图形质量有着决定性的影响。对于电子束光刻系统,高能电子意味着高分辨率,但也带来了更大的邻近效应。因此电子束光刻系统的设计中需要权衡考虑精度、速度和准确度。

图6 有无邻近效应修正的对比图[15]

4 应用举例

4.1 生物传感[16]

同时探测多种生物标记物如胞外信号分子,在疾病诊断中发挥着重要的作用。在微纳尺度下,精确测定表面抗体的位置对于分子量级的生物传感、诊断以及测定技术的发展起着至关重要的作用。因此,对于芯片特征尺寸的微型化提出了要求。电子束光刻有着超高的分辨率,无需昂贵的掩模就可以刻写出各种微纳结构,在生物科研领域应用极为广泛。Uland Y. Lau[16]等人利用电子束光刻来刻写蛋白质图形,实现对于活细胞的复细胞因子的检测。利用电子束光刻系统来制备蛋白质抗体图形的主要流程如图7所示。硅片上涂覆了聚乙二醇(PEG)薄膜,厚度约为2.68±0.11 nm。然后在基片上涂覆含有抗体、多聚蛋白质和抗坏血酸的混合溶液作为电子束光刻胶。当基板被电子束照射时,混合溶液中的多聚物也会像其他多聚物一样,多聚物中的分子链会发生断裂变得可溶于显影液[17,18]。

图7 电子束光刻蛋白质图形的步骤

制备过程为:将水溶液以500 rpm的旋转速度在基底上涂覆5 s,逐渐提升至1000 rpm转速涂5 s,随后2000 rpm涂5 s,最后以4000 rpm速度涂10 s。PEG薄膜基底首先旋转涂布超纯水,然后再将基底旋转涂覆抗体、多聚蛋白质和抗坏血酸混合溶液。电子束光刻系统的加速电压为30 kV,光斑尺寸为34 nm,曝光剂量为25 μC/cm2。随后的工艺即为显影、剥离和二次涂覆。二次涂覆的作用是为了形成多层蛋白质。如图8为用电子束光刻加工的多抗体图形的显微照片。

图8 电子束刻写的抗体图形显微照片(Bar=35 μm)[16]

4.2 硅光波导[19]

硅光子由于表现出可以兼容成熟的微电子工艺而逐渐获得大量的关注。在集成光学领域,绝缘体上硅(SOI)中由于Si和SiO2存在着大折射率差可以成为优良的光波导。M.Gnan[19]等人利用基于HSQ光胶工艺的电子束光刻制备出了单模SOI光子线波导和布拉格光栅。

制备过程为:用于制备SOI波导包括在硅基底上260 nm厚的导光Si层和1000 nm厚的SiO2缓冲层。在基板样品上涂上250 nm厚的HSQ层,利用电子束光刻机进行刻写。电子束能量为100 keV,曝光剂量为1700 mC/cm2。该图形用感应耦合等离子体(inductively coupled plasma(ICP))离子刻蚀工艺进行干刻。图9是制备的SOI光子线波导和布拉格光栅[19,20]。

图9 SOI光子线波导和布拉格光栅显微图片(Bar=500 nm)[19,20]

4.3 先进掩模制造

电子束由于其精度高、直写式的特点在集成电路高精度掩模制造领域有着广泛的应用。无锡中微掩模电子有限公司(以下简称“中微掩模”)基于Leica SB350电子束曝光机拥有0.13 μm以上的二元掩模和高阶相移掩模(PSM)的研发和生产能力。掩模板主要由石英基板、铬金属层和电子束光刻胶组成。电子束刻写掩模板的流程主要是曝光、显影、蚀刻和去胶。如图10为掩模板曝光显影后的显微照片。经过蚀刻和去胶后的掩模板只剩下铬层,掩模利用铬层作为遮光层将电路图形转印到晶圆上。相移掩模的制备和普通掩模板有所不同,在工艺流程中多了二次曝光的过程,而且遮光层的材料不是铬而是相移层。中微掩模基于Leica SB350配合具有二次曝光能力的激光束曝光机Omega 6600E可实现相移掩模的制造[21]。

图10 显影后的掩模板显微图片(Bar=1 μm)

5 结论

集成电路的发展推动着高分辨率光刻技术和设备的发展。高分辨率直写式电子束曝光成为目前下一代光刻技术的热点之一。电子束光刻技术将广泛地应用于微电子、微光学、硅光电子和生物化学等科研领域。集成电路中加工技术的发展也推动了其他学科的发展,诞生了新的交叉学科如纳米电子学、微纳光学和硅光电子学等。电子束光刻机存在生产率低的瓶颈,目前在集成电路领域中主要用于掩模板的制造。如果能突破该瓶颈,电子束光刻机将会在微纳加工及纳米器件制备等领域发挥更大的作用。

[1]Moore G E.Cramming more components onto integrated circuits[J].Electronics,1965,38(8):114-117.

[2]周兰.我国集成电路制造最新态势及未来展望[J].现代电信科技,2016,46(4):19-22.

[3]Hu W W,Sarveswaran K,Lieberman M,et al.Sub-10 nm electron beam lithography using cold development of poly (methylmethacrylate)[J].Journal of Vacuum Science& Technology B,2004,22(4):1711-1716.

[4]Broers A N.Technical Digest—IEDM[M].Washington,DC, 1978:1-5.

[5]顾文琪.电子束曝光微纳加技术[M].北京:北京工业大学出版社,2004:5.

[6]Okazaki S.High resolution optical lithography or high throughput electron beam lithography:The technical struggle from the micro to thenano-fabrication evolution[J]. Microelectronic Engineering,2015,133:23-35.

[7]Pfeiffer H C.New imaging and deflection concept for probe-forming microfabricationsystems[J].Journal of Vacuum Science&Technology,1975,12(6):1170-1173.

[8]Pfeiffer H C.Variable spot shaping for electron-beam lithography[J].Journal of Vacuum Science&Technology, 1978,15(3):887-890.

[9]Saitou N,Ozasa S,Komoda T,et al.Variably shaped electron beam lithography system,EB55:II Electron optics [J].Journal of Vacuum Science&Technology,1981,19(4): 1087-1093.

[10]Ito H,Willson C G.Chemical amplification in the design of dry developing resist materials[J].Polymer Engineering& Science,1983,23(18):1012-1018.

[11]Tanner S M,Rogers C T.Fabrication process for cantilevers with integrated tunnel junctions[J].Journal of Vacuum Science&Technology B,2008,26(2):481-486.

[12]Xia X,Yang H,Sun Y,et al.Fabrication of terahertz metamaterials using S1813/LOR stack by lift-off[J]. Microelectronic Engineering,2008,85(5):1433-1436.

[13]Rommel M,Nilsson B,Jedrasik P,et al.Sub-10 nm resolution after lift-off using HSQ/PMMA double layer resist[J].Microelectronic Engineering,2013,110:123-125.

[14]Van de Kraats A,Murali R.Proximity effect in e-beam lithography[J].Atlanta,Georgia:Nanotechnoogy Research Center,Georgia Institute of Technoogy,2005.

[15]Osawa M,Takahashi K,Sato M,et al.Proximity effect correction using pattern shape modification and area density map for electron-beam projection lithography[J]. Journal of Vacuum Science&Technology B,2001,19(6): 2483-2487.

[16]Lau U Y,Saxer S S,Lee J,et al.Direct Write Protein Patterns for Multiplexed Cytokine Detection from Live Cells Using Electron Beam Lithography[J].ACS nano, 2015,10(1):723-729.

[17]Zhang L,Zhang W,Zhang Z,et al.Radiation effects on crystalline polymers—I.Gamma-radiation-induced crosslinking and structural characterization of polyethylene oxide[J].International Journal of Radiation Applications and Instrumentation.Part C.Radiation Physics and Chemistry,1992,40(6):501-505.

[18]Dey R K,Cui B.Effect of molecular weight distribution on e-beam exposure properties of polystyrene[J]. Nanotechnology,2013,24(24):245302.

[19]Gnan M,Thoms S,Macintyre D S,et al.Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist[J]. Electronics Letters,2008,44(2):115-116.

[20]Gnan M,Bellanca G,Chong H M H,et al.Modelling of photonic wire Bragg gratings[J].Optical and Quantum Electronics,2006,38(1-3):133-148.

[21]彭力,陈友篷,尤春,周家万.先进相移掩模(PSM)工艺技术[J].电子与封装,2010,10(9):41-45.

Application of High Resolution Electron Beam Lithography Technology in Micro-and Nano-fabrication

HU Chao1,WANG Xingping1,YOU Chun1,SUN Feng2

(1.Wuxi Zhongwei Mask Electronics Co.,Ltd.,Wuxi 214135,China;2.China Electronics Technology Group Corporation No.58 Research Institute,Wuxi 214072,China)

In the paper,the principle of Electron Beam Lithography(EBL)and the application in micro-and nano-fabrication are presented.At first,operating principle of EBL system is overviewed.Then a detailed discussion on key process in EBL is made,such as the choice of Resist,optimized lift-off process and modification ofproximity effect.In the finalpart,severalexamples on biologicalmedicine and silicon photonics applications are added.The EBL is now attaching increasingly more attention due to its great potentials in the days to come.

electron beam lithography;micro-and nano-fabrication;nano-electronic device

TN305.7

A

1681-1070(2017)05-0028-05

胡超(1990—),男,江苏江阴人,硕士,现在无锡中微掩模电子有限公司从事掩模工艺技术研究。

2016-11-28

猜你喜欢

掩模光刻机光刻胶
细粒度图像分类的通道自适应判别性学习方法
国内外光刻胶发展概述
国内外光刻胶发展及应用探讨
中国光刻机崛起之路
光刻机打破ASML垄断还要多久?
中国光刻机崛起之路
核桃上雕船不稀奇头发丝上能刻一个足球场!
国内外集成电路光刻胶研究进展
基于直写技术的微纳掩模制作技术研究进展*
光刻胶:国产化势不可挡