APP下载

基于CDIO模式下的FPGA在实践教学中的应用初探

2017-04-10李海黄铉

课程教育研究·新教师教学 2016年6期
关键词:实践教学应用

李海++黄铉

摘要:实践教学理念的更新、课程改革已经迫在眉睫,将FPGA仿真技术融入到课程教学中,有助于实践教学质量的提高,并且FPGA仿真技术的引入,在培养学生创新能力和动手能力等方面起着重要的作用,为传统的教学指明了方向。本文主要对FPGA在实践教学中的应用进行分析探讨。

关键词:FPGA;实践教学;应用

中图分类号:TN791-4

一、目前FPGA人才需求情况

随着电子信息产业和集成电路技术的发展,FPGA设计技术已经成为电子信息产业最热门的技术之一,应用范围遍及通讯设备、广播电视设备、汽车电子设备、工业生产、电子消费品等多个领域。并随着集成电路工艺的进步和技术的发展,越来越多的集成电路设计也开始以ASIC转向FPGA,FP-GA产品将扩展到更多、更广泛的应用领域。

1、对人才学历层次的需求

现在的企业面临着日趋白热化的残酷竞争,为了减员增效,提高人才的利用率,不愿意承担培训人才的任务,即企业不养“闲人”,所以企业就千方百计地寻找可以直接上岗的人才,为了提高企业的资质等级,对人才的学历要求也越来越高,各企业都需要具有一定动手能力的大中专毕业生。

2、对人才能力的需求

FPGA应用行业需要的是掌握了一定专业知识、动手能力强的技能型人才,特别对技能型人才要求比较高,在企业内很多人都承担了不同的工作角色,打破了原有的“一个萝卜一个坑”的用人模式,倡导“一个萝卜几个坑”,从而提高工作效率。几乎所有企业都喜欢既懂技能又懂管理、专业知识面广、一专多能、具有一定社交能力和组织协调能力的专业人才。

二、独立学院学生现状

如今,电子类专业的学生毕业生总量很大,但目前工资水平一般较低,很难达到学生预计值。实际上,附加值较高的电子产品都离不开FPGA,从事FPGA技术的人员薪水也是相当可观的,尤其是有一定工作年限和技术之后。通过对企业调研,将FPGA相应的实践课程的开设与学生今后的就业工作岗位相联系,使学生基本具有以下能力:熟悉FPGA开发流程,能进行编程、仿真与测试及下载;熟悉Veriog或VHDL编程语言;熟悉使用XILINX/ALTERA的FPGA或CPLD。制定实施该体系的教学方法和教学手段。同时,FPGA编程和调试的过程比较繁琐,正好也锻炼了学生吃苦耐劳的精神。

三、FPGA实践教学方案的实施

根据企业的要求去确定FPGA模块化的教学方案是学校推进实践教学改革的重要方向。企业要求学生掌握从系统构思到编程,再到约束条件的编写,最后进行程序下载这一系列完整的FPGA设计流程。因此,在模块教学设计时都有一个共同特点:每个模块都是相对独立的,都能使学生体会到从系统构思到用Veriog HDL语言编程,最后到程序下载的一系列完整的程序设计过程。并且要求学生以组为单位进行查找资料设计,设计完作品后每组进行总结发言,培养他们的团队合作精神、沟通协调能力和自我学习的能力。每次设计要求以文档形式进行提交,提高他们的文档阅读和编写能力。

企业要求学生掌握流水灯设计和状态机设计,所以在FPGA的模块化教学中把这两部分也考虑了进去。根据市场需要,现在流行的大屏显示系统用到了16×16显示原理,户外的LED灯显示如今也应用比较广泛。LED灯具有抗震耐冲击、光响应速度快、省电和寿命长等特点,广泛应用于各种户外显示屏系统中。户外显示屏分为全色、三色和单色显示屏,全国共有100多家单位在开发生产。交通灯正在逐步更新换代,采用超高亮度红、绿、黄色LED,这些都用到了LED灯的显示原理。如今越来越多的数字产品开始使用液晶作为显示终端,但基于VGA标准的显示器仍是目前普及率最高的显示器。若驱动此类显示器,需要很高的扫描频率,以及极短的处理时间,正是由于这些特点,所以可以用FP-GA来实现对VGA显示器的驱动。所以,基于企业和市场的需要,将流水灯设计、状态机设计、16×16点阵设计、LED灯显示设计和VGA设计都融入到模块化设计中。

按照企业在招聘时对Veriog HDL语言学习要求,学生需掌握Veriog HDL语言的顺序语句,并发描述语句及状态机的学习。其中,顺序语句包括IF语句、CASE语句和LOOP循环语句。并发描述语句包括进程描述语句,并行信号赋值语句,条件信号赋值语句和并行过程调用语句。为了让学生在学习FPGA技术时形成一个由Veriog HDL语言编程,最后到程序下载的一系列完整的程序设计过程。把教科书中放在后续章节讲授的设计综合和仿真,设计实现和时序约束放到每个模块中讲授,让学生先熟悉Quartus II软件环境,并会用Quartus II软件进行Verilog HDL语言编程、检验、仿真和设计实现。設计实现是将综合输出的逻辑网表翻译成所选器件的底层模块与硬件原语,将设计映射到器件结构上,进行布局布线,达到在选定器件上实现设计的过程。

最后一步是下载程序到Altera硬件平台,硬件平台上有很多功能块,其中,有的功能块有固定的引脚,如8位LED灯显示模块、4位滑动开关输入模块、12位按键输入模块,这类模块的设计,实验箱一般都配有约束条件的描述,学生在设计上一般稍加改动就可以了。有的功能块在硬件平台上是通过扩展口连接上去的,如8位8字型数码管显示模块、VGA接口模块、16×16点阵模块、128×32字符图形液晶显示模块,这类模块需要根据学生实际在扩展口接线的情况来编写约束条件。约束条件的学习是FPGA学习的又一难点,课题研究将FPGA的学习分成七个模块学习,每次只讲要使用的模块的约束条件的编写,分步进行,学生更容易消化一些。而且,设计时先讲固定引脚的约束条件,再讲扩展接口的约束条件的编写,学生会更容易接受些。

四、结语

通过FPGA实践教学的改革,使学生通过七个模块的学习基本掌握用人单位对FPGA人才招聘的基本要求,模块的设计环环相扣,涵盖FPGA应用的基本知识点,学生学习时效果明显,通过学习基本能独立编程下载完成FPGA设计的整个流程。

参考文献

[1]刘宁庄.实践教学方法在FPGA课程教学中的应用[J].高校实验室工作研究,2013,01:22-23.

[2]蒋小燕,李俊生,朱昌平.FPGA在“数字信号处理”课程实验教学中的应用[J].常州工学院学报,2013,01:80-82.

[3]余丽霞.模拟教学法在《证券投资学》实践教学中的应用初探[J].四川省干部函授学院学报,2011,02:80-83.

猜你喜欢

实践教学应用
茶学专业校企合作实践教学探索
《电气工程毕业设计》 课程的教学设计
高职院校商务礼仪课程教学改革探索刍议
GM(1,1)白化微分优化方程预测模型建模过程应用分析
煤矿井下坑道钻机人机工程学应用分析
《数据库高级应用》教学创新方法研究
气体分离提纯应用变压吸附技术的分析
会计与统计的比较研究