APP下载

一种基于DSP-FPGA的辅助逆变器核心控制系统

2015-12-02吴瑕杰方辉宋文胜冯晓云

电机与控制学报 2015年5期
关键词:桥臂扇区中点

吴瑕杰, 方辉, 宋文胜, 冯晓云,2

(1.西南交通大学电气工程学院,四川 成都 610031;2.西南交通大学牵引动力国家重点实验室,四川 成都 610031)

一种基于DSP-FPGA的辅助逆变器核心控制系统

吴瑕杰1, 方辉1, 宋文胜1, 冯晓云1,2

(1.西南交通大学电气工程学院,四川 成都 610031;2.西南交通大学牵引动力国家重点实验室,四川 成都 610031)

辅助逆变器为电力机车辅助设备供电,其性能将直接影响电力机车的整体运行情况。本文所述辅助逆变器核心控制系统采用基于浮点数字信号处理器(digital signal processor,DSP)和现场可编程门阵列(field programmable gate array,FPGA)的系统架构。以FPGA部分为重点,采用了一种基于矢量旋转的简化三电平空间矢量脉宽调制(space vector pulsewidthmodulation,SVPWM),并通过三角函数运算的简化、高效率除法器等关键性设计避免DSP进行大量三角函数运算,减少硬件资源占用。实现了基于FPGA的AD采样与数据处理及DSP与FPGA之间基于双端口RAM的异步通信,充分利用了FPGA强大的高速并行处理能力。最后,搭建基于RT-LAB与辅助逆变器核心控制系统的半实物实验平台,实验结果证明了辅助逆变器核心控制系统FPGA部分设计方案的可行性与正确性。

辅助逆变器;空间矢量脉宽调制;三电平;DSP;FPGA

0 引 言

电力机车辅助逆变器为车上的辅助设备如空气压缩机、冷却风机、空调、照明等供电,因此对辅助逆变器的控制效果将直接影响电力机车的运行情况。20世纪80年代中后期,我国开始引进国外辅助变流器相关技术并取得了一定成就,但与欧洲和日本等发达国家仍有不小的差距,因此,研究辅助变流器控制技术,加速其国产化进程势在必行。

三电平逆变器以其输出电压波形畸变小、电压应力小、等优点得到了广泛应用与研究[1-2]。空间矢量脉宽调制(SVPWM)技术以其易于数字化实现,电压利用率高等优点,被广泛应用于三电平逆变器的控制[1-4]。针对三电平逆变器及三电平SVPWM存在的中点电位不平衡与算法实现复杂度较大的问题,文献[5]指出了引起中点电位不平衡的三个主要原因:1)电容参数不匹配;2)开关延迟造成的电容负载不平衡;3)负载非线性引起的偶次谐波电流。文献[6-7]中对中点电位不平衡的原因及控制原理进行了详细分析。文献[8]提出了一种基于空间电压短矢量冗余开关状态的中点电位控制方法。文献[9]给出了一种基于参考空间电压矢量旋转的简化三电平空间矢量脉宽调制算法。

目前,电力电子控制系统硬件架构主要有三种:纯定点DSP架构[10]、纯FPGA架构[11]、DSP+FPGA混合架构[12-13]。单定点DSP架构一般用于控制算法比较简单、精度及系统实时性要求不高的场合,如风机电源、通用变频器等;单FPGA架构可以充分发挥其并行处理的优势,理论上可以实现所有高实时性的控制算法、控制逻辑和通信功能,但由于芯片集成度、资源及编程语言的限制,目前的开发难度相较DSP要高得多。所以,目前多采用浮点DSP-FPGA的混合架构,把浮点DSP的运算能力与FPGA的并行处理能力相结合,在提高系统实时性的同时可以降低系统的开发难度[13]。文献[13]中介绍了一种基于DSP-FPGA架构的城轨列车电力牵引控制系统,其中FPGA主要完成了AD转换器的控制、双端口RAM的设计及其控制、根据浮点DSP计算得出的开关切换时间产生PWM信号等工作,但SVPWM相关计算仍由浮点DSP完成。随着FPGA集成度与性能的不断提高,利用FPGA完成SVPWM相关计算不仅兼具硬件电路速度快、可靠性高的优点,同时将DSP从繁重的计算任务与周期性事件中解放出来,使其更好地运行核心控制算法[14]。

针对以上问题,基于浮点DSP+FPGA的混合型架构完成辅助逆变器控制系统(ACU)硬件设计,本文以FPGA完成的工作为重点。首先探讨了一种基于参考空间电压矢量旋转的简化三电平SVPWM算法及一种基于短矢量对应冗余三相桥臂状态的中点电位控制策略,然后给出了DSP-FPGA异步通信、AD转换器的选型及其控制程序设计方案,并给出了在保证计算精度与速度的前提下可以有效减少资源占用量的关键性设计。最后搭建了基于RTLAB的半实物实验平台,验证了该车载辅助逆变器控制系统硬件设计的可行性以及基于FPGA的实现方案的正确性与可行性。

1 简化三电平SVPWM

三电平辅助逆变器主电路拓扑结构如图1所示,定义单相桥臂状态与IGBT导通状态对应关系如表1所示。

表1 桥臂状态对应开关管状态Table 1 IGBT state for different bridge state

图1 逆变器主电路结构Fig.1 Inverter circuit structure

图2为扇区分割与矢量分布图,图中将整个空间分为6个扇区(1~6),每个扇区又分为4个区域(Ⅰ~Ⅳ),并给出每个矢量对应的三相桥臂状态。

由于基本空间电压矢量幅值相等且存在严格的对称性,矢量旋转前后基本空间电压矢量作用时间不变,因此可以根据各扇区之间的对称性与开关切换时间之间存在的密切关系,通过矢量旋转将各扇区参考空间电压矢量等价到1扇区进行基本矢量作用时间与开关切换时间的计算[9]。

首先,定义调制度为

图2 空间电压矢量分布图Fig.2 The space vector distribution

图3给出了参考空间电压矢量的旋转关系。空间电压矢量Uref(2)位于2扇区,将其顺时针旋转60°即可得到1扇区内同一位置的等幅空间参考电压矢量Uref(1)。根据调制系统输入参考空间电压矢量对应的和进行矢量旋转得到Uref(1)对应的Uα(1)和Uβ(1)可表示为

图3 矢量合成与旋转示意图Fig.3 Component and rotation of space vector

式中:Ts为开关周期;ta、tb、tc分别为基本空间电压矢量U1、U8、U2的作用时间。

解得基本空间电压矢量U1、U8、U2作用时间分别为

同理可以推导出参考空间电压矢量位于1扇区内其他小区域时对应的基本矢量作用时间计算公式,如表2所示,其中

表2 1扇区各区域时间计算公式Table 2 The operating time of each rigion in vector 1

在1扇区内确定参考空间电压矢量合成方案及基本空间电压矢量作用时间后,需要根据参考空间电压矢量位于不同扇区内同一位置时输出相电压间存在的关系,将1扇区内计算得出的开关导通时间折算到参考空间电压矢量实际扇区。首先,根据式(2)空间电压矢量构成方式,假设1扇区内参考空间电压矢量对应相电压为Ua、Ub、Uc,则Uref(1)可表示为

根据表3可知,输出相电压反相,桥臂状态对应P↔N和O↔O两种变化。该算法将基本矢量作用时间计算等价到1扇区完成,与传统算法相比,减小了算法复杂度,降低了硬件性能要求与芯片资源占用量。

表3 各扇区对应相电压与1扇区关系Table 3 Relationship of phase voltage for same space voltage vector in different vector

2 中点电位平衡与矢量序列的选择

由于三电平NPC拓扑结构的逆变器存在直流侧中点电位不平衡的问题,因此必须在SVPWM调制算法中加入中点电位控制策略,在完成空间矢量脉宽调制的同时完成中点电位的控制。首先,定义三相桥臂状态函数为

式中:Si为A、B、C三相不同输出相电压对应的桥臂状态量化值;ui为A、B、C三相对应桥臂状态。

由于引起中点电位不平衡的根本原因在于不为零的中点电流,且流出中性点电流i0可表示为

式中:iA、iB、iC分别为A、B、C三相对应逆变器输出相电流。

由于不同基本空间电压矢量对应的三相桥臂状态对中点电位的影响不同,根据中点电流的变化情况进行分析,可以得出不同基本空间电压矢量对应的三相桥臂状态对中点电位的影响情况,如表4所示。

以中点电位平衡为目标,在忽略中矢量对中点电位的影响的前提下,给出了1扇区内各区域对应桥臂状态序列选择方案:

表4 空间电压矢量对应三相桥臂状态对中点电位的影响Table 4 Effect of three-phase bridge state for different space vector

在确定桥状态序列选择方案后,进一步考虑中矢量对中点电位的影响,通过调节短矢量冗余桥臂状态作用时间对中点电位实施控制。本文以基本空间电压矢量U1对应的一对冗余桥臂状态为例说明冗余桥臂状态作用时间的分配原则。

定义上下两个支撑电容电压差值为

同时,通过调节因子e(0<e<1)对桥臂状态作用时间进行调节。式(10)给出一个开关周期Ts内桥臂状态POO/ONN作用时间。

由式(7)和式(8)可知,当桥臂状态为POO时,流出中性点电流i0=-iA;当桥臂状态为ONN时,流出中性点电流i0=iA。因此,中点电位调节因子e可以按照如下原则进行取值:

1)当iAΔU<0,取e<0.5,此时需要增加桥臂状态ONN的作用时间,减小桥臂状态POO的作用时间。

2)当iAΔU>0,取e>0.5,此时需要增加桥臂状态POO的作用时间,减小桥臂状态ONN的作用时间。

3)当ΔU=0时,取e=0.5,则桥臂状态POO和ONN的作用时间相等。

因此,该中点电位控制策略的基本原理是通过判断流出中性点电流的方向和中点电位差值,对中点电位调节因子e的大小进行调节,从而重新分配同一基本空间电压矢量对应的冗余桥臂状态的作用时间,以达到直流侧支撑电容中点电位控制的目的。

3 DSP-FPGA异步通信

由于DSP与FPGA之间需要进行频繁的数据交换,包括调制信号,直流侧电压Ud,反馈信号等各种数据流,本文采用了由Xilinx公司提供的双端口RAM作为DSP与FPGA之间数据交换的存储区域,即在FPGA内部设计一片双端口RAM。这样可以有效避免使用外部双端口RAM,减小硬件设计的复杂度,缩短开发周期,同时兼具FPGA良好的可移植性。

同时,为了解决DSP与FPGA同时对双端口RAM的同一地址进行读写操作时引起的冲突,分别在DSP与FPGA上设置一个读写状态信号与读写仲裁器。表5给出了双端口RAM各存储空间对应的数据定义。

表5 双端口RAM地址定义Table 5 Definition of address for dual-port RAM

4 基于FPGA的AD采样与数据处理

辅助逆变器控制系统与主电路的交互通过AD转换与PWM信号来体现。一方面,控制系统通过AD实时采集主电路反馈的电压、电流等模拟量,另一方面通过调制生成PWM信号随主电路实施控制。

一般来说,离散数字信号的处理相对容易,由于系统要求较高的实时性,连续的模拟量需要对所有重要的模拟信号进行同步采样,采样率一般不低于100k/s[15],甚至能够过采样。为了保证控制精度,需要AD有足够的分辨率,一般采用至少12位的AD转换器。

本文选用了一种低功耗、高精度的14位AD转换器ADS7945,采用状态机的程序设计思想实现其控制,图4给出了ADS7945控制程序状态描述框图。

图4 ADS7945控制程序状态描述Fig.4 Description of state for ADS7945 control unit

5 基于FPGA的设计优化

采用VerilogHDL结合Schematic的实现方式,基于Xilinx SPARTAN-6系列FPGA,完成了车载辅助逆变器控制系统FPGA部分的数字电路设计工作。图5给出了基于DSP-FPGA混合型架构的车载辅助逆变器控制系统各器件间的连接关系以及FPGA部分的功能划分。

图5 辅助逆变器控制系统FPGA部分功能划分Fig.5 Functional component of FPGA for imp lementation of assistant inverter control system

5.1 基于FPGA的除法器设计

本设计采用定点方式完成计算且在算法中存在大量除法运算。为达到高速、低资源占用的设计要求,图6给出了一种除法器的设计思路。整个除法运算主要包括4个步骤:1)加载被除数A、除数B,同时将商Q和余数R清零;2)将A左移1位并将移出的这一位赋给R的最低位;3)比较R和B,若R大于等于B,则将R更新为R-B,同时将Q左移1位并将其最低位赋值为1,否则,保持R不变,将Q左移1位并将其最低位赋值为0。如此循环,便可得到需要的商和余数。

图6 除法器算法描述Fig.6 Algorithm description of a devider

5.2 三角函数计算的简化

本设计中,无论是扇区判断、基本矢量作用时间计算,都需要进行大量的正余弦运算,消耗大量的硬件资源。由于此类计算大多与sin60°和cos60°相关,经过化简可以得到固定的乘法和加法运算。这些乘法均存在公因子,因此本文以乘法运算Y=X为例,给出一种满足系统计算精度的基于加法器和移位寄存器的简化乘法器设计[9]。

然后,根据展开的级数形式即可得出硬件电路设计方法。图7为乘法器的硬件电路描述。当时间计算模块以14位的数据长度进行定点运算时,PWM模块的分辨率不足13位,因此只需取到级数的第6项即可满足精度要求。

图7 乘法器电路描述Fig.7 Hardware description ofmultiplying unit

5.3 PWM信号生成

针对主电路的控制,需要利用SVPWM算法计算得出的开关切换时间,根据确定的桥臂状态序列生成三相共12路PWM信号。假设IGBT为高电平导通,将一个开关周期内每一相四路PWM信号变化规律描述为

根据PWM信号变化规律,图8给出了单相四路PWM信号的生成原理。

图8 PWM信号生成原理Fig.8 Princip le of PWM generator

6 实验

为了验证基于DSP-FPGA混合型架构的车载辅助逆变器控制制系统硬件设计方案以及基于FPGA的程序设计方案的正确性与可行性,搭建了基于加拿大OPAL-RT公司RT-LAB的半实物实验平台。其中,在RT-LAB中完成车载辅助变流器主电路的搭建,DSP作为辅助变流器控制系统的核心控制器,用于完成整个控制算法,FPGA则作为协同处理器,包括双端口RAM的实现及其控制、AD控制、三电平SVPWM几个部分。图9给出了半实物实验平台原理框图。

实验参数:直流侧电压Ud=1 500 V,开关频率为1 kHz,电感L=0.5mH,滤波电容C=200μF,变压器变比n=1.809 1,工作频率fn=50 Hz,额定负载容量为163 kVA,功率因数为0.85(感性),交流输出相电压有效值为Uac=220 V,交流输出频率fac=50 Hz,交流输出Uac瞬时变化范围在20%以内(70%~100%负载变动),DSP控制周期为1 ms,FPGA时钟周期为10 ns。

图9 半实物实验平台原理框图Fig.9 Com ponent of low-power experimental p latform

图10给出了辅助逆变器以额定负载正常工作情况下A相对应的PWM信号,三路信号分别为Ta1对应PWM信号,Ta2和Ta3对应PWM信号,Ta4对应PWM信号。

图11(a)和图11(b)分别给出了辅助逆变器以额定负载正常工作情况下变压器原边与副边对应的A相与B相线电压uAB和A相相电流iA波形。由图11(a)和图11(b)可知,变压器副边A相与B相线电压uAB幅值为537 V,即输出相电压有效值为220 V,A相与B相线电压uAB和A相相电流iA频率均为50 Hz,由于变压器的滤波作用,变压器副边A相相电流iA谐波含量明显小于变压器原边A相相电流iA。

图10 A相桥臂PWM信号Fig.10 PWM Signal of bridge for phase A

图11 额定负载时的线电压和相电流波形Fig.11 Line-voltage and phase-current for rated loa d

图12(a)、图12(b)和图12(c)分别给出了辅助逆变器在额定负载的70%~85%、85%~100%和70%~100%范围内突变时变压器副边对应的A相与B相线电压uAB和A相相电流iA波形。由图12(a)、图12(b)和图12(c)可知,在负载跳变的时候,调制系统能够根据核心控制器的控制信号及时响应,使得交流输出电压能够保持稳定,输出电流能够根据负载变化而变化。

图12 负载突变时的线电压和相电流波形Fig.12 Line-voltage and phase-current for load breaking

7 结 论

本系统以电力机车辅助逆变器为控制对象,采用DSP和FPGA结合的核心控制系统,选用运算能力强的DSP芯片TMS6713实现核心控制算法,采用具有IO资源丰富、速度快、配置灵活、模块并行执行以及可移植性强等优点的FPGA实现空间矢量脉宽调制、外部电路模块控制管理等功能。

本文重点分析了利用FPGA实现三电平SVPWM调制、与DSP通信以及外部电路模块控制与管理功能的方法并给出详细的设计方案。

1)该简化三电平SVPWM算法能够有效减小传统三电平SVPWM算法中基本矢量作用时间计算与开关导通时间计算部分的实现复杂度与芯片资源占用量。

2)将SVPWM相关运算移植到FPGA中完成,使DSP从大量正余弦计算中解放出来,更好地运行电机控制核心算法。

3)可以有效减小由于顺序执行所造成的不同通道数据采集的时间误差,能够同时实现对不同电路模块的控制和管理。

4)系统兼具运算速度与灵活性,实现了资源的优化利用,节约成本,保证了控制系统的实时性。

5)基于ISE开发软件,使用VerilogHDL语言进行开发,完成了数据标么化、除法器、双端口RAM、DSP-FPGA异步通信模块、AD控制模块的设计,各个模块具有良好的可移植性与重用性,并且采用了自顶向下的模块化设计方法完成整个调制系统的设计。

最后,通过搭建基于RT-LAB与辅助逆变器核心控制系统的半实物实验平台验证了车载辅助逆变器控制系统设计方案的正确性与可行性。

[1] 冯晓云.电力牵引交流传动及其控制系统[M].北京:高等教育出版社,2009:133-140.

[2] 宋文祥,陈国呈,武慧,等.一种具有中点电位平衡功能的三电平空间矢量调制方法及其实现[J].中国电机工程学报,2006,26(12):95-100. SONG Wenxiang,CHEN Guocheng,WU Hui,et al.A novel SVPWM strategy and its implementation considering neutral-point potential balancing for three-level NPC inverter[J].Proceedings of the CSEE,2006,26(12):95-100.

[3] 杨贵杰,孙力,崔及政,等.空间矢量脉宽调制方法的研究[J].中国电机工程学报,2001,21(5):79-83. YANG Guijie,SUN Li,CUIJizheng,et al.Study on method of the space vector PWM[J].Proceedings of the CSEE,2001,21 (5):79-83.

[4] 赵辉,李瑞,王红君,等.60°坐标系下三电平逆变器SVPWM方法的研究[J].中国电机工程学报,2008,28(24):39-43. ZHAO Hui,LIRui,WANG Hongjun,et al.Study on SVPWM method based on 60°coordinate system for three-level inverter[J].Proceedings of the CSEE,2008,28(24):39-43.

[5] 颜景斌,周永勤,于长胜,等.可复用SVPWM IP核的设计与实现[J].电机与控制学报,2006,10(6):605-606. YAN Jingbin,ZHOU Yongqin,YU Changsheng,et al.The design and implementation of the reused SVPWM IP core[J].Electric Machines and Control,2006,10(6):605-606.

[6] 姜卫东,王群京,陈权,等.考虑中点电压不平衡的中点箝位型三电平逆变器空间矢量调制方法[J].中国电机工程学报,2008,28(30):20-21. JIANGWeidong,WANG Qunjing,CHEN Quan,et al.SVPWM algorithm for NPC three-level VSI considering unbalance neutral point voltage[J].Proceedings of the CSEE,2008,28(30):20 -21.

[7] 林磊,邹云平,王展,等.一种具有中点平衡功能的三电平异步电机直接转矩控制方法[J].中国电机工程学报,2007,27 (3):46-49. LIN Lei,ZOU Yunping,WANG Zhan,etal.A DTC algorithm of inductionmotors fed by three-level inverter with neutral-point balancing control[J].Proceedings of the CSEE,2007,27(3):46 -49.

[8] 宋文祥,陈国呈,丁肖宇,等.基于两类脉宽调制方式本质联系的三电平逆变器中点电压平衡控制的研究[J].电工技术学报,2005,20(12):54-57. SONGWenxiang,CHEN Guocheng,DING Xiaoyu,et al.Research on neutral-point balancing control for three-level NPC inverter based on correlation between PWM and SVPWM[J].Transactions of China Electrotechnical Society,2005,20(12):54 -57.

[9] 吴瑕杰,王顺亮,宋文胜,等.基于FPGA的三电平空间矢量脉宽调制算法半实物实验方案[J].电力系统自动化,2014,38(3):78-82. WU Xiajie,WANG Shunliang,SONGWensheng,et al.Hardware-in-the-loop experiment scheme for a three-level space-vector PWM algorithm based on FPGA[J].Automation of Electric Power System,2014,38(3):78-82.

[10] MUKHERJEE S,PODDARG.Fast control of filter for sensorless vector control SQIM derive with sinusoidal motor voltage[J]. IEEE Transactions on Industrial Electronics,2007,54(5): 2435-2442.

[11] PARKHIV,SHILASKAR S,TIRMAREM,etal.FPGA implementation of PWM control technique for three phase induction motor drive[C]//First International Conference on Emerging Trends in Engineering and Technology,July 16-18,2008,Nagpur,India.2008,7:996-1001.

[12] BONNET F,VIDAL P E,PIETRZAK D M.Dual direct torque control of doubly fed induction machine[J].IEEE Transactions on Industrial Electronics,2007,54(5):2482-2490.

[13] 刁利军,董侃,赵雷廷,等.基于双DSP-FPGA架构的城轨列车电力牵引控制系统[J].电工技术学报,2014,29(1): 174-179. DIAO Lijun,DONG Kan,ZHAO Leiting,et al.Dual DSPs-FPGA structured traction control system for urban rail transit vehicle[J].Transactions of China Electrotechnical Society,2014,29 (1):174-179.

[14] TZOU Y,HSU H.FPGA realization of space-vector pwm control IC for threer-phase PWM inverters[J].IEEE Transactions on Power Electronics,1997,12(6):953-954.

[15] KARIPIDISClaus-Ulrich.A versatile DSP/FPGA structure optimized for rapid prototyping and digital real-time simulation of power electronic and electrical drive systems[D].Aachen: Aachen University of Technology,2001.

(编辑:张诗阁)

Auxiliary inverter core control system based on DSP-FPGA

WU Xia-jie1, FANG Hui1, SONGWen-sheng1, FENG Xiao-yun1,2
(1.School of Electrical Engineering,Southwest Jiaotong University,Chengdu 610031,China; 2.State Key Laboratory of Traction Power,Southwest Jiaotong University,Chengdu 610031,China)

Auxiliary inverter is used to power supply electric locomotive auxiliary devices and its operation performance affects the operation of electric locomotive directly.A new system structure based on DSP and FPGA was adopted to the auxiliary inverter core control system.A simplified three-level space vector pulse widthmodulation(SVPWM)algorithm which can relieve digital signal processor(DSP/MCU)from heavy computation task through the simplified design ofmultiplier and divider units was adopted to the auxiliary inverter core control system.In order tomake full use of high-speed parallel processing ability of FPGA,implementation scheme of AD capture and data processing,asynchronous communication between DSP and FPGA based on dual-port RAM were proposed in this paper.Finally,accuracy and feasibility of FPGA part in the auxiliary inverter core control system were verified by hardware-in-loop experimental platform based on RT-LAB and the auxiliary inverter core control system.

auxiliary inverter;pace vector pulse width modulation;hree-level;DSP;PGA

10.15938/j.emc.2015.05.009

TM 921.45

A

1007-449X(2015)05-0058-09

2013-05-25

国家自然科学基金(51277153,51207131);高铁联合基金重点项目(U11344205)作者简介:吴瑕杰(1989—),男,博士研究生,研究方向为电力牵引传动及其控制;

方 辉(1988—),女,博士研究生,研究方向为电力牵引传动及其控制;

宋文胜(1985—),男,博士,讲师,硕士生导师,研究方向为电力牵引传动及其控制;

冯晓云(1962—),女,教授,博士生导师,研究方向为列车运行控制与牵引传动控制。

宋文胜

猜你喜欢

桥臂扇区中点
分阶段调整增加扇区通行能力策略
例谈圆锥曲线中的中点和对称问题
中点的联想
桥臂梗死的临床分析
管制扇区复杂网络特性与抗毁性分析
U盘故障排除经验谈
基于贝叶斯估计的短时空域扇区交通流量预测
准PR控制的三电平逆变器及中点平衡策略
带续流开关的中点箝位型非隔离光伏逆变器
基于MMC的铁路功率调节器方案对比