APP下载

EDA技术及其应用优势

2018-12-22桂淮濛陕西工业职业技术学院

数码世界 2018年3期
关键词:电子设计器件逻辑

桂淮濛 陕西工业职业技术学院

随着信息化、数字化和智能化的到来,智能家居、可穿戴设备、车载电子等需求领域将迎来爆发式增长。要实现各类数字产品在也性能,复杂度上等方面的迅速提升,这就需要制造技术与设计技术的飞速发展。集成电路设计正在不断地向极大规模,极低功耗和超高速的方向发展。专业集成电路ASIC(Application Specific Integrated Circuit)的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统。

现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术。电子系统的设计方法,已从电子CAD、电子CAE发展到电子设计自动化EDA,这使得设计自动化程度,以及复杂性越来越高。 EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA/CPLD编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;在现代电子学方面融合了电子线路设计、数字信号处理等。因此,EDA技术已成为现代电子设计的有力工具。本文将针对EDA技术的概念,设计方法以及应用展开论述。

1 EDA技术的概念

EDA技术是在电子CAD技术的基础上发展起来的。它以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,已实现电子产品的自动设计。EDA技术依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。简单地说,EDA就是立足于计算机工作平台而开发出来的一整套先进的设计电子系统的软件工具。

传统的数字系统通常采用搭积木的方式设计,即由一些固定功能的器件加上一定的外围电路构成模块,由这些模块进一步形成各种功能电路,进而构成系统。构成系统的“积木块”是各种标准芯片,这些芯片的功能是固定的,用户只能根据需要从这些标准器件中选择,并按照推荐的电路达成系统。 传统的设计方法已经无法满足电子制造技术的设计要求。

2 EDA的设计方法

随着可编程逻辑器件器件,即PLD(Programmable Logic Device)器件及EDA技术的出现,实现了立足于PLD芯片实现各种不同的功能。设计者可由基于电路板的设计转向通过芯片设计实现数字逻辑功能。此方法有效的减少了设计中芯片的数量和种类,同时极大地缩小了整个系统的体积,降低了功耗,并提高了可靠性。半导体集成技术早已发展到可以在几平方厘米的芯片上集成数千万及以上个晶体管。EDA技术已成为现代电子设计的有力工具,没有EDA技术的支持,要完成超大规模集成电路的设计和制造是不可想象的。

基于EDA技术的设计中,通常采用Top-down(自顶向下)的设计方法。Top-down的设计方法首先从系统出发,在顶层进行功能方框图的划分和结构设计。在功能级进行仿真、纠错,并用硬件描述对高层次的系统行为进行描述,然后用综合工具将设计转化为具体门电路网表,其对应的物理实现可以是PLD器件或专用集成电路(Application Specific Integrated Circuit,ASIC)。由于设计的主 要仿真和调试过程是在高层次完成的,这一方面有利于早起发现结构设计上的错误,避免设计工作的浪费,另一方面也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。在Top-down的设计中,将设计划分为几个不同的层次,系统级、功能级、门级、开关级等,按照自上而下的顺序,在不同的层次上对系统进行设计与仿真。

具体来说,EDA设计流程可以分为六个步骤。第一步是设计输入,即将电路系统以一定的表达方式输入计算机,常采用图形输入或文本输入等方式。第二步是综合,即将软件描述与给定的硬件结构用某种网表文件的方式对应起来,成为相应的映射关系。第三步是适配,有综合器产生的网表文件配置于指定的目标器件,并产生最终的可下载文件。将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作。第四步是仿真,包括时序仿真和功能仿真。时序仿真是选择具体器件并完成布局布线后进行的包括延时的仿真。功能仿真是直接对VHDL/Verilog HDL、原理图或其他描述形式的逻辑功能进行测试模拟, 了解其功能是否满足原设计的要求,不考虑信号时延因素的仿真。第五步是下载,编程下载是把适配后生成的下载、配置文件,通过编程器、编程电缆向FPGA/CPLD下载,以便进行硬件调试和验证。最后一步为硬件测试,即将含有载入了设计的FPGA/CPLD的硬件系统进行统一测试,最终验证设计项目在目标系统上的实际工作情况,以排除错误、改进设计。

3 EDA技术的应用及发展趋势

随着微电子技术和计算机技术的迅速发展,EDA技术以及在国防、航空航天、工业自动化、通信以及仪器仪表等领域得到了广泛的应用,这也使得EDA技术成为当今电子技术发展的核心技术之一。为了能更好的适应新一代FPGA芯片的设计以及市场需求的变化,EDA技术也有突飞猛进的发展,总体来说可概括为以下两点:(1)跨越器件组甚至公司界限的一体化设计工具,使用受益于统一的用户界面,避免在不同工具间数据转换等繁琐操作;(2)随着IC复杂度的不断提高,高级语言将成为FPGA开发的利器,硬件描述语言将从更高层次入手对系统进行描述。System Verilog终将取代VHDL成为下一代的描述语言。

结束语:在当前的电子技术快速发展的情况下,EDA技术已经成为现代电子系统设计中的有利工具。同时,EDA技术利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,是电子设计技术的一个巨大进步。。EDA技术的引入,有效的改善了数字电路设计的方法。EDA技术的这些优势足以说明,EDA技术的引用,能够有效的推动电子技术的发展。

[1]谭会生,张昌凡,EDA技术及应用 :Verilog HDL版.3版[M]。西安电子科技大学出版社,2011。

[2] 潘松,黄继业,EDA技术与VHDL[M]。清华大学出版社,2009

[3] 王金明,冷自强,EDA技术与Verilog 设计[M].科学出版社,2008

猜你喜欢

电子设计器件逻辑
刑事印证证明准确达成的逻辑反思
逻辑
创新的逻辑
电子系统工程的标准化设计探讨
Finding the Extraterrestrial
女人买买买的神逻辑
一种基于通用面包板的教学模式应用
旋涂-蒸镀工艺制备红光量子点器件
通用贴片式器件及应用电路(五)电压变换器MAX860及MAX881R