APP下载

基于FPGA的FM信号发生器设计与实现

2016-08-18左盼盼赛景波

电子器件 2016年3期
关键词:幅度载波波形

左盼盼,赛景波

(北京工业大学电子信息与控制工程学院,北京100124)

基于FPGA的FM信号发生器设计与实现

左盼盼,赛景波*

(北京工业大学电子信息与控制工程学院,北京100124)

为提高FM信号发生器的频率准确度和稳定度,并使其相关技术参数可调,设计了一种基于FPGA和直接数字频率合成(DDS)技术的产生方法。系统通过上位机设置FM信号的调制参数,通过PCIE接口将上位机设置的FM信号控制字传给FPGA,FPGA内部通过控制DDS核来实现FM信号的产生。测试结果表明,FM信号的频率精度高且稳定性好,最高输出载波频率达40 MHz,幅度精度能达到5 mV。该FM信号发生器在软件无线电、雷达目标特征识别和雷达距离探测等领域具有广阔的应用空间。

调频信号直接数字扩频现场可编程门阵列;数模转化

直接数字式频率合成技术是新一代的频率合成技术,它采用数字控制信号的相位增量技术,具有频率分辨率高,频率切换快,频率切换时相位连续和相位噪声低以及全数字化易于集成等优点而被广泛采用[1]。近几年超高速数字电路的发展以及对的深入研究,的最高工作频率以及噪声性能己接近并达到锁相频率合成器相当的水平。随着这种频率合成技术的发展,现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。

由于DDS采用全数字波形合成技术,因此在数字芯片中很容易实现。同时使用DDS技术实现波形发生,可以简化外电路,只需要考虑数模转换芯片的选择和滤波器的设计即可,本系统以FPGA为主控芯片,FPGA接收上位机传送的波形参数控制字和波形数据,通过调用FPGA内部的DDS核产生基本的FM数字信号,再将信号通过DAC转化电路,完成由数字FM信号到模拟FM信号的转化,最后通过信号调理电路对模拟波形信号进行放大、滤波、幅度补偿处理,使得最终的输出波形满足设计要求。

1 系统整体方案设计

本文设计的FM信号发生器由上位机和下位机组成,上位机主要包括FM信号控制面板和PCI驱动程序,下位机主要由FPGA和外部的信号调理电路组成。系统总体结构框图如图1所示。

收稿日期:2015-07-22修改日期:2015-08-28

图1 FM信号发生器整体框图

系统的工作原理为:指令和波形数据由上位机通过PXIE总线传给下位机,FPGA通过调用PCIE核完成PCIE协议的转化,同时将数据存储在FPGA内部RAM中。由FPGA调用DDS核构建DDS结构,读取波形存储单元的波形数据并输出数字量,数字量通过16 bit DAC转化为初始模拟波形,再由低通滤波器[2]滤除带外噪声获得平滑波形。经去偏电路去掉直流偏置后,由多级程控放大器对DAC在高频输出波形时的寄生电容效应所拉低的电压进行补偿,并完成幅度控制。本文主要讲述FM信号在FPGA内部的实现。

2 DDS的基本原理[3]

直接数字频率合成(DDS)广泛用于波形发生,其主要构成由频率控制字和相位控制字控制相位累加器,从而输出线性相位值,根据相位值去寻址波形存储器,波形存储器根据地址输出数字波形,数字波形经过D/A转换器最终变为模拟波形输出,其原理图如2所示。

图2 DDS原理图

DDS工作时,频率控制字FCW在每个时钟周期内与相位累加器相加,相位控制字PCW则是作为相位累加器的初始值,相位累加器得到的相位值(0~2π)在每一个时钟周期内以二进制码的形式去寻址波形查找表,将相位信息转变为相应的数字化波形幅度值。数字化波形送入D/A转换器将数字波形变换成阶梯状的模拟波形输出,最后通过低通滤波器滤除其他干扰频率成分,得到最终需要的实际波形信号的输出。DDS输出的波形频率值与采样时钟关系如式(1):

其中 fout为最终输出频率,fsys为采样速率,N为相位宽度,FCW为频率控制字。

DDS输出的波形相位值见式(2):

其中Pout为最终输出的起始相位,N为相位宽度控制字,PCW为相位控制字。

3 FM信号产生原理及FPGA逻辑设计[4]

FM频率调制,是指载波信号的频率随着调制波的幅度线性变化。

设载波信号为

调制信号为:

则调频波FM的时域表达式[5]为

KFM为调频指数,

最大频偏[6](Δfmax)是指调制波幅度最大或最小时改变载波频率最大的值。最大频偏和调制波频率的比值为调频指数,因此在给定最大频偏的条件下不同调制波频率对应不同的调频指数。最大频偏和调制波对应关系如图3所示。

图3 最大频偏控制示意图

如图3所示,记控制最大频偏的数字量为M,则其计算公式如下:

其中N为载波的相位宽度,fsys为实现载波DDS的系统时钟。针对本系统来讲,由于 fsys=200 MHz,N=40 bit,载波峰峰值对应的数字量为-8 192~8 192,即A=8 192。

根据上述公式,得到调频波(FM)结构如图4所示。

如图4所示,FM信号的发生采用双DDS结构,其中5 M DDS用于产生调制波,200 M DDS用于产生载波。FM本质是通过调制波的幅度值去控制载波的频率值,而幅度最大时对应的改变载波频率的量称为最大频偏(Δfmax)。图中上半部分根据调制波幅度计算出影响载波频率大小的频率控制字(KFMUm(t))和原载波的频率控制字(ωc)相加共同控制载波频率从而得到FM信号。FM周期波产生后经过幅度和偏置调节模块实现幅度和偏置的控制。

图4 FM产生框图

4 FM信号的Modelsim仿真

为验证FM信号能够在FPGA内部准确的产生,根据图5所示结构,通过Verilog-HDL语言的输入方式,结合FPGA内部自带的DDS核、加减乘除IP核、和RAM核,在 ISE13.3平台上完成设计。FPGA内部产生的FM信号只是带有频率信息的基本波形,具体的幅度调节须在外部进行处理[7]。下图为FM载波频率为10 kHz,最大频偏为5 kHz时Modelsim的时序仿真波形。仿真结果表明,整个系统设计各功能模块工作正常。理论上设计成立,接下来只需将编译生成的网表文件加载到FPGA中进行验证。FM信号的Modeisim仿真结果如图5所示。

图5 FM信号的Modelsim仿真结果

5 系统测试及分析

5.1系统测试平台的搭建

测试平台主要包括硬件测试平台平台和软件测试平台的搭建,硬件测试平台主要由NI-PXIE机箱、示波器、频率计等仪器组成,软件测试平台主要使用Labwindows/cvi对FM信号的参数进行设置。搭建好的测试平台如图6所示。

图6 FM信号的测试平台

5.2FM信号解调测试

FM信号的解调测试利用安捷伦信号分析仪N9030A来解调出FM的载波频率、调制波频率和最大频偏等信息。图7所示分别为载波频率为20 MHz,最大频偏为5 KHz条件下,调制波频率分别为 10 kHz、2 kHz、1 kHz和 100 Hz条件下,N9030A信号分析仪解调出的波形。

测试结果表明,系统产生的FM信号频谱[8]纯度较高,且在调制信号带宽内没有多余的干扰信号,但解调出的信号频率有微小的偏差,DDS为了实现较高的频率分辨率,一般相位宽度N选择的非常大,但实际波形查找表又做不到很大,此时引入相位截断技术[9],使相位输出的高M位用于波形查找表寻址,所以为改善输出信号频率的精度,应尽可能加大波形查找表的深度。

图7 FM信号解调测试结果

6 结论

本文以FPGA为主控芯片,利用当前使用广泛的DDS技术,使得设计更加灵活,易于调试,可输出高频率分辨力、幅度精度高、低杂散、稳定性强的FM信号,同时输出载波频率和最大频偏可调,测试结果表明,系统的各项指标均满足要求。该FM信号发生器在近程探测、雷达测距、软件无线电领域有广阔的应用前景。

[1] 高亚军.基于FPGA的数字信号处理[M].北京:电子工业出版社:197.

[2] 安震,张会新,卢一男.基于PCI总线与FPGA多通道模拟信号源的系统设计[J].计算机测量与制,2012,20(9):2558-2560.

[3] 刘聪.低杂散宽带直接数字频率合成技术研究[D].北京理工大学,2014:15-16.

[4] 贾兴中,张凯华,任勇峰.基于FPGA的多通道模拟信号源设计[J].电子器件,2015,38(3):588-591.

[5] 于春锐,韩方景.基于DDS的调频信号发生器的设计与仿真[J].电子产品世界,2010(6):37-39.

[6] 王秉钧,冯玉珉,田宝玉.通信原理[M].北京:清华大学出版社,2006:95-96.

[7] 戈立军,吴虹,司敏山.基于DDS技术的FM信号发生器的设计及其FPGA实现[J].天津:南开大学学报,2007,40(6):95-99.

[8] 王丹,李平,文玉梅.采用DDS频率合成的虚拟信号发生器研究[J].传感技术学报,2007,20(3):586-591.

[9] 张杰,胡超.DDS芯片输出杂散分析及其抑制研究[J].微计算机信息,2011(9):91-93.

左盼盼(1990-),男,汉族,安徽人,北京工业大学,研究生,主要研究方向为射频微波电路设计及嵌入式系统,zuopanpan123@gmail.com;

赛景波(1964-)男,汉族,黑龙江人,北京工业大学,副教授,主要研究方向为无线通信,移动 IP及嵌入式系统,saijingbo@bjut.edu.cn。

Design and Implementation of FM Wave Generator Base on FPGA

ZUO Panpan,SAI Jingbo*
(College of Electronic Information and Control Engineering,Beijing university of Technology,Beijing 100124,China)

In order to improve the frequency accuracy and stability of the FM signal generator,and make it relevant technical parameters adjustable,a method is designed based on FPGA and Direct Digital Synthesizer(DDS)technology.The system achieves adjustable FM signal modulation parameters by the PC,and through the PCIE interfaceFM signal control word is transferred to the FPGA,FPGA controls the integrated DDS core to achieve the FM signal generation.Test results show that the frequency of FM signal has high frequency accuracy and stability,the maximum output of the carrier frequency can reach 40 MHz,and the amplitude accuracy can reach 5 mV.The FM signal generator has a broad application space in software radio,radar target feature identification and radar detection distance and other fields.

FMDDSFPGA;DAC

TN741

A

1005-9490(2016)03-0600-05

EEACC:123010.3969/j.issn.1005-9490.2016.03.020

猜你喜欢

幅度载波波形
水声单载波扩频均衡技术研究
单次止损幅度对组合盈亏的影响
用于SAR与通信一体化系统的滤波器组多载波波形
微波超宽带高速数控幅度调节器研制
基于ANSYS的四连杆臂架系统全幅度应力分析
Pareto最优稀疏频率雷达波形设计
低压台区载波抄表技术研究
基于ARM的任意波形电源设计
双丝双正弦电流脉冲波形控制
应急广播系统中副载波的构建与应用