APP下载

基于Verilog的洗衣机控制电路设计

2019-05-24孙浩付一含赵根徐栋义王颖

电脑知识与技术 2019年7期

孙浩 付一含 赵根 徐栋义 王颖

摘要:为了实现洗衣机的控制功能,以Verilog为基础,包含控制器,计时器,状态显示,控制对象,报警电路等模块,实现电路的设计,经验证,功能良好。

关键词:Verilog;FPGA;Qaurtus∏

中图分类号:TP393 文献标识码:A

文章编号:1009-3044(2019)07-0244-02

开放科学(资源服务)标识码(OSID):

洗衣机控制电路通过对洗衣机运行时间,循环次数的设定,可根据人们的需要,实现全自动洗衣功能,洗衣过程中发生突发情况可以报警示意,洗衣结束后发出提示音提醒,方便人们的日常生活,为人们带来生活中的便利。

1 原理

Verilog HDL是一种硬件描述语言(以文本形式来描述数字系统硬件的结构和行为的语言),用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

程序主要包括计数器8421BCD码显示模块,控制器模块,受控器模块。控制器通过端口的输入,向洗衣机发出正转,反转,待机指令,并通过数码管和LED显示控制时间。

2 总体设计

3 详细设计

3.1 设计要求

(1)控制功能:

1?洗衣机工作状态为待机5秒,正转60秒,待机5秒,反转60秒,并用3个LED和7段显示器分别表示其工作状态和显示相应工作状态下的时间。

2?可自行设定循环次数,最大循环次数为15次。

3?具有紧急情况处理功能,发生紧急情况,立即待机,紧急解除后,继续执行后续步骤。

4?设定循环次数到零时立即报警,表示设定次数已结束,在顶层电路定义各输入及输出变量。

(2)在Qaurtus∏建立计数器电路的顶层电路文件并完成编译和仿真。

(3)信号说明

输入信号:时钟CLK,直接清零RD,暂停|连续EN,洗衣机的循环次数I3I2I1I0;

输出信号:三个工作状态S、R、L,一个工作过程周期T,8421BCD码num[7..0],报警信号。

3.2 设计原理

(1)洗衣机控制系统包括控制器,控制对象,状态显示电路,计时器和报警电路。控制器为核心部件,内有5秒和60秒信号产生器,状态计数器,数据选择器,状态译码器,自动发出顺序循环控制信号,同时完成计时功能。它还向控制对象提供一个工作过程的周期信号T。作循环次数累计。控制对象由四位二进制计数器和四位数值比较器构成。它对T计数,同时与人工输入的预置循环数比较,随时将反映受控器自身状态的信号反馈给控制器。实现系统控制功能。

(2)流程图

(3)顶层文件电路图

4 仿真

(1)执行设定次数为2的波形图,测试符合要求。

(2)加入暂停信号的后的波形图,从整体上看暂停有效。

(3)加入RD信号后的波形图,可以看到,复位有效,复位后报警提示复位成功。

5 驗证

6 总结

这次项目使组员充分认识到各项不足,实验过程中做了很多改进,都得到了提升,过程中有很多困难也都客服。

参考文献:

[1]陈赜.CPLD/FPGA与ASIC设计实践教程[M].北京:科学出版社,2010.

【通联编辑:唐一东】