APP下载

一种基于单片机和DDS技术的信号源设计

2014-09-17李征刘玮玮

现代电子技术 2014年17期
关键词:累加器信号源滤波器

李征 刘玮玮

摘 要: 简单介绍了直接数字频率合成(DDS)技术的原理,提出一种基于DDS芯片AD9832和51单片机的信号源设计方案。讨论了频率源的硬件接口设计及部分软件代码,并给出了测试结果。该类型信号源精度高,控制方便且容易实现FSK等调制,具有非常广阔的应用前景。

关键字: 信号源设计; DDS; 80C51; AD9832

中图分类号: TN74?34 文献标识码: A 文章编号: 1004?373X(2014)17?0046?02Abstract: The principle of direct digital synthesizer (DDS) technology is introduced briefly in this paper. A design scheme of a signal source based on AD9832 and 80C51 MCU is proposed. The hardware interface design and partial software codes of the signal source are discussed in this paper. The testing results are offered. The type of signal source, under control of software, is easy to be modulated, and has high accuracy and a bright application prospect.

Keywords: signal source design; DDS; 80C51; AD9832.

频率源在现代电子技术中应用非常广泛,如雷达、导航、通信、空间电子技术及仪器仪表等,其指标也直接影响系统的性能。频率源可分为两大类:自激振荡源和合成频率源,其中合成频率源近几十年发展迅速,而直接数字频率合成(DDS)是目前许多高性能合成频率源采用的主要技术之一。DDS具有相对带宽很宽,频率转换时间短,分辨率高,数字化易集成等优点,同时可通过控制系统对输出信号的频率进行实时控制。

1 DDS的基本原理

DDS技术采用数字方式,把正弦波的幅度参数和相位信息按规律存储在寄存器里,再以相位和来查询正弦函数表,得到波形的离散数字序列,最后经过数/模变换和滤波,形成正弦波输出[1]。其结构示意图如图1所示。

相位累加器在每个时钟周期与频率控制字累加1次,作为取样地址在正弦查找表中进行相位?幅度转换,当累加器数值大于[2N]时则产生溢出(N为相位累加器位数),溢出频率就是合成信号的频率。因此容易得出,DDS输出的频率为[fout=(K2N)*Fclk,]最小分辨率为

10 MHz的晶振作为DDS的输入时钟信号,由单片机控制合成信号的频率。DDS芯片选取AD公司的AD9832,最高时钟频率为25 MHz,相位累加器为32位,功耗为45 mW,控制芯片为80C51单片机。频率合成时,单片机需要控制AD9832的数据同步信号输入端FSYNC。该引脚为低电平时,表示正在输入1个新字,数据通过SDATA引脚在SCLK的下降沿写入。

由于DDS信号的合成为数字量化产生的阶梯型正弦波,杂散寄生分量很大,因此合成的信号在输出之前需要经过低通滤波。常用的低通滤波器中,椭圆函数滤波器有更陡峭的滤波曲线,故设计中选用该滤波器,滤波器的阶数设计为7阶[3]。根据频率源的设计要求:输出信号频率为2.5 MHz,杂散抑制超过50 dB,滤波器的技术指标设计为:截止频率为2.8 MHz,通带内的纹波小于0.2 dB,最低阻带频率为3.3 MHz,阻带衰减量为60 dB,输入阻抗为360 Ω。滤波器电路及仿真得到的频率响应曲线如图3所示。

4 结 语

本文介绍了一种基于DDS技术的信号发生器,DDS芯片选用AD公司的AD9832,控制芯片为单片机80C51。该信号发生器的电路结构简单,控制方便,具有低功耗、响应速度快等特点。在使用时,还可通过软件控制切换频率控制字或相位控制字,完成FSK和BPSK等多种调制。此外,信号发生器的输出频率范围广,可适当调整软件和椭圆滤波器实现4 MHz以下其他频点的输出,方便移植使用。

参考文献

[1] 全晶,郑正奇,王松,等.基于ATmega8的DDS信号发生器设计[J].微计算机信息,2005(31):131?132.

[2] 王安军,冯永浩.计算法DDS的实现及杂散性能分析[J].现代电子技术,2004,27(3):87?89.

[3] 吴胜阳.椭圆函数低通滤波器的设计[J].新乡学院学报,2008,25(4):18?20.

[4] 于沈拓,董德存.直接数字频率合成芯片AD9832原理及其典型应用设计[J].测控技术,2004,23(12):68?70.

[5] 王辛.基于DDS技术的波形设计[J].现代电子技术,2013,36(14):1?3.

[6] 杜英,郝茂森.基于DDS和FPGA的频率特性测试仪[J].现代电子技术,2014,37(4):112?114.

摘 要: 简单介绍了直接数字频率合成(DDS)技术的原理,提出一种基于DDS芯片AD9832和51单片机的信号源设计方案。讨论了频率源的硬件接口设计及部分软件代码,并给出了测试结果。该类型信号源精度高,控制方便且容易实现FSK等调制,具有非常广阔的应用前景。

关键字: 信号源设计; DDS; 80C51; AD9832

中图分类号: TN74?34 文献标识码: A 文章编号: 1004?373X(2014)17?0046?02Abstract: The principle of direct digital synthesizer (DDS) technology is introduced briefly in this paper. A design scheme of a signal source based on AD9832 and 80C51 MCU is proposed. The hardware interface design and partial software codes of the signal source are discussed in this paper. The testing results are offered. The type of signal source, under control of software, is easy to be modulated, and has high accuracy and a bright application prospect.

Keywords: signal source design; DDS; 80C51; AD9832.

频率源在现代电子技术中应用非常广泛,如雷达、导航、通信、空间电子技术及仪器仪表等,其指标也直接影响系统的性能。频率源可分为两大类:自激振荡源和合成频率源,其中合成频率源近几十年发展迅速,而直接数字频率合成(DDS)是目前许多高性能合成频率源采用的主要技术之一。DDS具有相对带宽很宽,频率转换时间短,分辨率高,数字化易集成等优点,同时可通过控制系统对输出信号的频率进行实时控制。

1 DDS的基本原理

DDS技术采用数字方式,把正弦波的幅度参数和相位信息按规律存储在寄存器里,再以相位和来查询正弦函数表,得到波形的离散数字序列,最后经过数/模变换和滤波,形成正弦波输出[1]。其结构示意图如图1所示。

相位累加器在每个时钟周期与频率控制字累加1次,作为取样地址在正弦查找表中进行相位?幅度转换,当累加器数值大于[2N]时则产生溢出(N为相位累加器位数),溢出频率就是合成信号的频率。因此容易得出,DDS输出的频率为[fout=(K2N)*Fclk,]最小分辨率为

10 MHz的晶振作为DDS的输入时钟信号,由单片机控制合成信号的频率。DDS芯片选取AD公司的AD9832,最高时钟频率为25 MHz,相位累加器为32位,功耗为45 mW,控制芯片为80C51单片机。频率合成时,单片机需要控制AD9832的数据同步信号输入端FSYNC。该引脚为低电平时,表示正在输入1个新字,数据通过SDATA引脚在SCLK的下降沿写入。

由于DDS信号的合成为数字量化产生的阶梯型正弦波,杂散寄生分量很大,因此合成的信号在输出之前需要经过低通滤波。常用的低通滤波器中,椭圆函数滤波器有更陡峭的滤波曲线,故设计中选用该滤波器,滤波器的阶数设计为7阶[3]。根据频率源的设计要求:输出信号频率为2.5 MHz,杂散抑制超过50 dB,滤波器的技术指标设计为:截止频率为2.8 MHz,通带内的纹波小于0.2 dB,最低阻带频率为3.3 MHz,阻带衰减量为60 dB,输入阻抗为360 Ω。滤波器电路及仿真得到的频率响应曲线如图3所示。

4 结 语

本文介绍了一种基于DDS技术的信号发生器,DDS芯片选用AD公司的AD9832,控制芯片为单片机80C51。该信号发生器的电路结构简单,控制方便,具有低功耗、响应速度快等特点。在使用时,还可通过软件控制切换频率控制字或相位控制字,完成FSK和BPSK等多种调制。此外,信号发生器的输出频率范围广,可适当调整软件和椭圆滤波器实现4 MHz以下其他频点的输出,方便移植使用。

参考文献

[1] 全晶,郑正奇,王松,等.基于ATmega8的DDS信号发生器设计[J].微计算机信息,2005(31):131?132.

[2] 王安军,冯永浩.计算法DDS的实现及杂散性能分析[J].现代电子技术,2004,27(3):87?89.

[3] 吴胜阳.椭圆函数低通滤波器的设计[J].新乡学院学报,2008,25(4):18?20.

[4] 于沈拓,董德存.直接数字频率合成芯片AD9832原理及其典型应用设计[J].测控技术,2004,23(12):68?70.

[5] 王辛.基于DDS技术的波形设计[J].现代电子技术,2013,36(14):1?3.

[6] 杜英,郝茂森.基于DDS和FPGA的频率特性测试仪[J].现代电子技术,2014,37(4):112?114.

摘 要: 简单介绍了直接数字频率合成(DDS)技术的原理,提出一种基于DDS芯片AD9832和51单片机的信号源设计方案。讨论了频率源的硬件接口设计及部分软件代码,并给出了测试结果。该类型信号源精度高,控制方便且容易实现FSK等调制,具有非常广阔的应用前景。

关键字: 信号源设计; DDS; 80C51; AD9832

中图分类号: TN74?34 文献标识码: A 文章编号: 1004?373X(2014)17?0046?02Abstract: The principle of direct digital synthesizer (DDS) technology is introduced briefly in this paper. A design scheme of a signal source based on AD9832 and 80C51 MCU is proposed. The hardware interface design and partial software codes of the signal source are discussed in this paper. The testing results are offered. The type of signal source, under control of software, is easy to be modulated, and has high accuracy and a bright application prospect.

Keywords: signal source design; DDS; 80C51; AD9832.

频率源在现代电子技术中应用非常广泛,如雷达、导航、通信、空间电子技术及仪器仪表等,其指标也直接影响系统的性能。频率源可分为两大类:自激振荡源和合成频率源,其中合成频率源近几十年发展迅速,而直接数字频率合成(DDS)是目前许多高性能合成频率源采用的主要技术之一。DDS具有相对带宽很宽,频率转换时间短,分辨率高,数字化易集成等优点,同时可通过控制系统对输出信号的频率进行实时控制。

1 DDS的基本原理

DDS技术采用数字方式,把正弦波的幅度参数和相位信息按规律存储在寄存器里,再以相位和来查询正弦函数表,得到波形的离散数字序列,最后经过数/模变换和滤波,形成正弦波输出[1]。其结构示意图如图1所示。

相位累加器在每个时钟周期与频率控制字累加1次,作为取样地址在正弦查找表中进行相位?幅度转换,当累加器数值大于[2N]时则产生溢出(N为相位累加器位数),溢出频率就是合成信号的频率。因此容易得出,DDS输出的频率为[fout=(K2N)*Fclk,]最小分辨率为

10 MHz的晶振作为DDS的输入时钟信号,由单片机控制合成信号的频率。DDS芯片选取AD公司的AD9832,最高时钟频率为25 MHz,相位累加器为32位,功耗为45 mW,控制芯片为80C51单片机。频率合成时,单片机需要控制AD9832的数据同步信号输入端FSYNC。该引脚为低电平时,表示正在输入1个新字,数据通过SDATA引脚在SCLK的下降沿写入。

由于DDS信号的合成为数字量化产生的阶梯型正弦波,杂散寄生分量很大,因此合成的信号在输出之前需要经过低通滤波。常用的低通滤波器中,椭圆函数滤波器有更陡峭的滤波曲线,故设计中选用该滤波器,滤波器的阶数设计为7阶[3]。根据频率源的设计要求:输出信号频率为2.5 MHz,杂散抑制超过50 dB,滤波器的技术指标设计为:截止频率为2.8 MHz,通带内的纹波小于0.2 dB,最低阻带频率为3.3 MHz,阻带衰减量为60 dB,输入阻抗为360 Ω。滤波器电路及仿真得到的频率响应曲线如图3所示。

4 结 语

本文介绍了一种基于DDS技术的信号发生器,DDS芯片选用AD公司的AD9832,控制芯片为单片机80C51。该信号发生器的电路结构简单,控制方便,具有低功耗、响应速度快等特点。在使用时,还可通过软件控制切换频率控制字或相位控制字,完成FSK和BPSK等多种调制。此外,信号发生器的输出频率范围广,可适当调整软件和椭圆滤波器实现4 MHz以下其他频点的输出,方便移植使用。

参考文献

[1] 全晶,郑正奇,王松,等.基于ATmega8的DDS信号发生器设计[J].微计算机信息,2005(31):131?132.

[2] 王安军,冯永浩.计算法DDS的实现及杂散性能分析[J].现代电子技术,2004,27(3):87?89.

[3] 吴胜阳.椭圆函数低通滤波器的设计[J].新乡学院学报,2008,25(4):18?20.

[4] 于沈拓,董德存.直接数字频率合成芯片AD9832原理及其典型应用设计[J].测控技术,2004,23(12):68?70.

[5] 王辛.基于DDS技术的波形设计[J].现代电子技术,2013,36(14):1?3.

[6] 杜英,郝茂森.基于DDS和FPGA的频率特性测试仪[J].现代电子技术,2014,37(4):112?114.

猜你喜欢

累加器信号源滤波器
密码累加器研究进展及应用
从滤波器理解卷积
开关电源EMI滤波器的应用方法探讨
Fpga的信号发生器设计原理
聚焦4K视频播放展望未来信号源发展
基于霍夫变换的工位点识别算法设计与实现
基于Canny振荡抑制准则的改进匹配滤波器
低噪声键控宽频信号源设计与实现
基于TMS320C6678的SAR方位向预滤波器的并行实现
发射机信号源的自动处理和控制系统