APP下载

基于FPGA的嵌入式系统USB接口设计

2010-08-18杨志坤汤国文

电子设计工程 2010年1期
关键词:固件驱动程序端点

杨志坤,曾 博,汤国文

(电子科技大学 电子工程学院,四川 成都 611731)

通用串行总线USB(Universal Serial Bus)是应用于 PC领域的接口技术,已得到广泛应用。USB2.0已成为目前电脑中的标准扩展接口[1]。本系统设计的目的在于为公共交换电话网络(PSTN)和PC机之间提供一个数字接口,设计中PC机是主机,基于FPGA的嵌入式系统是USB设备。该系统是IPPBX的简化系统,大量工作由PC的服务器完成,而这里重点介绍以FPGA为控制核心的USB接口设计。

1 系统结构设计

该系统通过 TRM (Telephone Response Module)和PC服务器实现PSTN网络和Internet网络之间自由、高效通话。图1为整个系统结构示意图。

图1 系统结构示意图

系统工作过程:当有来电时,DAA向FPGA申请中断。FPGA收到中断后,使用USB的中断传输向主机报告有外接来电,则PC的应用软件会提示用户选择摘机或拒绝。摘机后,FPGA在接收到命令后就会接通电话,然后语音数据通过USB的同步传输进行双向传送。当用户向外拨号时,其过程与来电时的情况是相同的。

2 系统硬件模块设计

2.1 系统硬件框图

本设计采用FPGA作为核心控制器件[2],其控制对象是USB2.0接口器件EZ-USB FX2 CY7C68013A-56。图2为EZUSB器件工作在Slave FIFO模式下时FX2 USB和FPGA的典型电路连接图。

图2 系统硬件电路连接

2.2 FPGA和USB简介

设计中的核心控制器件FPGA选用Altrea公司的EP2C8Q208C8,而USB设备器件则选用Cypress公司的CY7C68013A-56。EP2C8Q208C8是 Altera Cyclone II系列器件中的一种,Cyclone II FPGA是基于Stratix II的90 nm工艺生产的低成本FPGA。Cyclone II FPGA的应用主要定位在终端市场,如消费类电子、计算机、工业和汽车等领域。EP2C8Q208C8内部有2个锁相环(PLL)和8个全局时钟网络,8 256个逻辑单元(LE)个,36个 M4K RAM,18个乘法器模块,可用I/O数138个[3]。EP2C8Q208C8具有低成本、高性能、低功耗和对IP-PBX系统的可扩展性(足够多的I/O接口)的优点,因此这里选择该器件作为系统的控制器件。

CY7C68013A-56器件是第1个包含USB2.0的集成微控制器,其内部集成有1个增强型的8051,1个智能USB串行接口引擎(SIE),1个USB数据收发器,3个8位I/O、16位地址线、8.5 KB RAM和4 KB的FIFO等。增强型8051内核完全与标准8051兼容,而性能可达到标准8051的3倍以上[4]。图3为CY7C68013A-56的结构框图。

图3 CY7C68013A-56结构框图

CY7C68013A器件在数据传输时利用4 KB的FIFO,包含 7 个 端 点 :EP0IN/OUT,EP1IN,EP1OUT,EP2,EP4,EP6,EP8。其中EP0、EP1IN和EP1OUT是3个64 B的缓冲端点,只能被固件访问,EP0是默认的数据输入输出端口缓存,默认工作于控制传输,EP1IN和EP1OUT是独立的64 B缓存,可以配置成块传输、中断传输或同步传输。端点2、4、6、8是大容量高宽带的数据传输端点,可配置为各种带宽以满足实际需求。端点2、6能配置成每帧成512 B或1 024 B,并可配置为 2、3、4级,则 EP2,EP6最大能被配置为 4 KB的缓存;端点4、8则能配置为每帧512 B的缓存。

3 系统软件设计

3.1 USB Firmware设计

由于设计中使用FPGA控制USB设备进行语音电话通信,所以在USB部分使用3种USB传输模式:控制传输、中断传输、等时传输。控制传输用于实现设备枚举主机的标准请求以及厂商自定义请求;中断传输用于实现设备对主机的唤醒,是唯一的设备主动向主机发送数据的传输方式;等时传输用于实现语音数据的双向同步传输。USB Firmware设计重点是语音的通信段。

CY7C68013A具有 PORTS、Slave FIFO、GPIF共 3种工作模式。PORTS模式是最基本的数据传输方式,其数据传输需CPU直接参与,适于传输速率要求不高的场合;GPIF模式是主机方式,内部主机控制端点FIFO;Slave FIFO模式是从机方式,外部控制器,如FPGA、DSP。应用中使用异步FIFO方式,采用内部48 MHz时钟,自动方式,而固件程序的编写则以Cypress公司提供的固件程序框架为基础,在其初始化函数中添加自己的配置代码。

在整个系统中,CY7C68013A的固件主要完成以下工作:初始化USB器件;作为USB接口与主机通信并传输数据;利用CY7C68013A器件的Slave FIFO接口控制USB器件和外部控制器之间的数据传输。因此固件主程序比较复杂,除了上面的TD_Init()初始化外,还需要大量函数,但基本结构相对简单,包括3个过程:USB控制器的初始化;主函数,包括处理标准设备请求的代码;中断处理,包括处理各种中断的程序代码。图4为固件主程序流程。

图4 固件程序流程

3.2 USB驱动程序

USB系统软件由主机中的软件和设备固件构成。USB主机中的软件主要包括USB设备驱动(USBDD)、USB总线驱动(USBD)和 USB 主控制器驱动(HCD)[5]。

USB设备驱动程序(或客户驱动程序)处于最顶层,它支持特定设备类的驱动,负责与其对应的USB设备进行通信和读写控制,实现各个USB设备特殊的功能应用。连接USB设备的每种类型的功能单元都必须具有客户驱动程序。客户驱动程序把USB设备看作是一个可被访问的端点的集合,USB设备可以被控制并与其功能单元通信。USB设备驱动程序通过I/O请求包(IRP)向USB总线驱动程序发送请求。这些请求包将一个给定的传输初始化。这种传输可以来自于一个USB目标设备或发送到USB设备。

USB总线驱动(USBD)是在主机控制器驱动和USB设备驱动之间的模块,它对应 USB协议的USBD,在 Windows系统中由USBD.SYS模块提供,它是在某一操作系统上对USB总线和协议提供支持的软件,独立于USB设备和USB设备驱动,并对它们进行控制和提供统一编程接口。

USB主控制器驱动程序(HCD)处于最底层,它负责对主机控制器进行抽象和对USB提供低级支持。

3.3 PC端的软件设计

PC端的软件设计采用面向对象程序设计,分为核心类(包括 Provider,Data,Net)和 应用类(包括 UI,Call,Record)。其中核心类提供对硬件、操作系统和网络环境的支持,应用类在核心类的基础上提供对用户操作的支持。Provider类定义对USB设备的读写操作,提供读取数据方法(readusb())和写数据方法(writeusb());Data 类包括地址数据(Address)、音频数据(Audio)和控制数据(Command)的定义,并提供数据的分解方法(Decompose())和组合方法(Compose());Net类提供对网络的支持,包括网络协议的选择和数据的接收与发送。UI类提供对用户界面的支持,包括窗口、对话框等,实现基本用户框架与应用入口;Call类提供通话功能;Record类则提供电话录音功能;根据不同应用要求,可扩展其他应用类。具体结构如图5所示。

图5 PC服务器端软件框图

4 结束语

USB灵活的接口和可编程特性可简化外部硬件的设计,提高系统可靠性[6]。而USB2.0控制器CY7C68013A也广泛应用于许多数据传输领域。FPGA已成为通信、计算机、消费类电子产品等领域的基础器件。这里所介绍的USB设备就是一个基于FPGA的嵌入式系统,该系统软硬件都具有模块化的特性,易于改造成其他应用场合的语音控制系统 (DAA除外)。该设计的应用可节省大量的人力、物力,具有较大的推广意义和使用价值。

[1]张念淮,江 浩.USB总线接口开发指南[M].北京:国防工业出版社,2001.

[2]谭安菊,龚 彬.USB2.0控制器CY7C68013与FPGA接口的 VerilogHDL 实现[J].电子工程师,2007,33(7):52-55.

[3]王 诚,吴继华,范丽珍,等.Altera FPGA/CPLD设计基础篇[M].北京:人民邮电出版社,2005.

[4]钱 峰.EZ-USB FX2单片机原理、编程及应用[M].北京:北京航空工业出版社,2006.

[5]Chris Cant.Windows WDM设备驱动程序开发指南[M].北京:机械工业出版社,2001.

[6]蒋金涛,杨 鸣.USB2.0控制器EZ-USB FX2的性能特点及其数据传输实现[J].计算机工程与应用研究,2005,41(11):94-96.

猜你喜欢

固件驱动程序端点
非特征端点条件下PM函数的迭代根
不等式求解过程中端点的确定
计算机硬件设备驱动程序分析
基于固件的远程身份认证
基丁能虽匹配延拓法LMD端点效应处理
提取ROM固件中的APP
一种通过USB接口的可靠固件升级技术
基于MPC8280的CPU单元与内部总线驱动程序设计
多路手机固件升级工具设计
妙用鼠标驱动