APP下载

基于FPGA的智能玩具设计

2017-03-04郭峥刘丽君

科学与财富 2016年32期
关键词:智能小车设计

郭峥+刘丽君

摘 要:隨着现代科学技术的不断进步,FPGA也得到加快发展,自可变成逻辑芯片逐渐升级为可编程系统级芯片,在电路中所发挥的功能也更为丰富,包括数字信号处理、高密度预算等,应用范围也扩展到消费电子、汽车电子以及工业控制等多个方面。本文基于FPGA的智能小车设计,对于电子玩具的深入研究具有重要意义。

关键词:FPGA;智能小车;设计

本文主要基于FPGA设计一种智能玩具,系统主要通过PWM波实现对智能小车行驶速度进行控制,以红外线传感器对路面上的黑色轨迹进行检测,将检测信号反馈给控制芯片FPGA,FPGA发出指令并对小车电机驱动电路进行合理控制,调整智能小车的行驶方向,从而通过对超声波模块的合理利用,对前方障碍物进行检测,并确保智能小车沿着黑色轨迹保持自动行驶状态,促进小车避障循迹功能的顺利实现。

1 智能玩具小车的系统设计

本文主要目标是基于FPGA设计智能玩具小车,优化智能玩具小车的控制系统,以确保器自动循迹自动避障功能的实现。本设计中以常见电机模型作为主要机械平台,基于设计目标对设计要求进行细化的基础上,对传感器技术和电机控制技术进行优化利用,促进智能玩具小车各项功能的顺利实现。待设计完成后,将硬件模块与软件设计进行组合,促进多功能智能玩具小车的形成,确保智能小车的前进倒退、转向行驶以及自动循迹避障等功能的实现,真正实现智能化控制。其中硬件模块主要由红外线自动循迹以及超声波自动避障所组成。

就智能玩具小车的总体设计来看,其主要由传感器信号处理模块、电机驱动模块以及控制模块所组成,智能小车自主运动功能的实现,主要依靠传感系统与电机驱动电路之间的协调配合得以实现。其中,系统模块FPGA芯片中自动循迹避障功能的顺利实现,以Verilog HDL语言描述为依托,并于LED屏上准确显示出障碍物距离,从而保证基于FPGA的智能玩具小车设计的合理性和有效性。

该系统主要基于数个红外对射传感器作用于FPGA芯片中,从而促进智能玩具小车自行躲避障碍物功能的顺利实现。超声波测距原理主要采用渡越时间法来测定声源与障碍物之间的距离。智能玩具小车运行过程中的前进、后退、左右转等运行方式的实现,是基于GPIO驱动来实现的,将控制信号自端口输出后,基于FPGA所输出的PWM信号来对智能玩具小车进行科学化控制。电机控制中主要以PWM脉冲宽度调制方式实现玩具小车前进速度的控制,以PWM信号送入驱动芯片的控制端实现玩具小车行驶速度的调节,从而实现智能化操作。本文基于FPGA的智能玩具小车设计系统框图如图1所示。

2 系统硬件设计

2.1 光电检测电路设计

基于红外光电传感器的基础上所设计的光电传感器模块具有一定特殊性,基于高发射功率下,红外光二极管和高灵敏度光电晶体管组成传感器,经过施密特电路整形处理的输出信号具有高度稳定性和可靠性。在光电检测电路中,传感器的红外发射二极管将红外线发射出来,若红外线未被反射回来或所反射强度不大,则光敏三极管处于关断状态,模块输出端处于低电平状态,指示二极管处于熄灭状态,此为第一种情况。若被测物体出现在检测范围内,红外线被反射的强度较大,光敏三极管处于饱和状态,模块输出端为高电平,指示二极管处于点亮状态,此为第二种情况。该智能玩具小车系统中,光电检测电路设计中所使用的反射式光电传感器种类丰富,价格低廉,质量可靠且便于使用,基于所接收信号的变化情况能够对检测物体状态的具体变化情况进行合理判断,图2为传感器模块电路原理图。

当小车在白色地面行驶时,装在车下的红外发射管发射红外线信号,经白色反射后,被接收管接收,一旦接收管接收到信号,那么图中光敏三极管将导通,比较器输出为低电平;当小车行驶到黑色引导线时,红外线信号被黑色吸收后,光敏三极管截止,比较器输出高电平,从而实现了通过红外线检测信号的功能。将检测到的信号送到控制模块的I/O口,当I/O口检测到的信号为高电平时,表明红外光被地上的黑色引导线吸收了,表明小车处在黑色的引导线上;同理,当I/O口检测到的信号为低电平时,表明小车行驶在白色地面上。

回滞比较器LM324在系统中起到抗干扰的作用,LM324为四运放集成电路,采用14脚双列直插塑料封装。内部有四个运算放大器,有相位补偿电路。电路功耗很小,工作电压范围宽,可用正电源3~30V,或正负双电源±1.5~±15V工作。在黑线检测电路中用来确定红外接收信号电平的高低,以电平高低判定黑线有无。在电路中,LM324的一个输入端需接滑动变阻器,通过改变滑动变阻器的阻值来提供合适的比较电压。

2.2 超声波传感模块

基于FPGA的智能玩具小车设计中,超声波传感器发生器测距的实现,主要是通过发射器所发射的超声波信号倍物体反射后被接收头所接受,由于接收头具有一定压电效应,能够在接受信号后产生mv级的微弱电压信号,从而借助于超声脉冲回波渡越时间来实现准确测决。超声波测距原理如图3所示。

4 系统软件设计

本系统采用PWM来调节直流电机的速度,通过Verilog HDL语言编程实现FPGA的逻辑门控制。小车进入循迹模式后开始不停地扫描与红外探测器连接的单片I/O口,一旦检测到某个I/O口有信号变化,就执行相应的判断程序,把相应的信号发送给电动机从而纠正小车的运行状态。智能玩具小车循迹避障流程图分别如图4、图5所示。

5 结论

本文基于FPGA所设计的智能小车是一种智能化电子玩具,以红外传感器作为循迹模块,并通过FPGA芯片对智能小车实现科学化控制。该智能玩具小车设计中主要对单元模块化的电路加以应用,系统的简洁化与智能化程度较高,性能优良,通过测试可知,该智能玩具小车能够实现避障循迹,响应速度较快。

参考文献

[1]焦健雄,赵贺,罗应龙,尹鹏程,刘紫燕.基于FPGA的智能小车设计[J].微型机与应用,2016,35(11):22-24.

[2]吴翠娟.基于FPGA的智能小车控制系统设计[J].电子制作,2013(17):11-13.

[3]段丽娜.基于FPGA的智能小车设计[J].电子设计工程,2014,22(9):184-186.

猜你喜欢

智能小车设计
瞒天过海——仿生设计萌到家
设计秀
有种设计叫而专
基于STC89C51智能小车的移动家居平台的设计
基于HC—SR04超声波传感器的智能避障小车设计
智能安全防盗探测小车
设计之味