APP下载

异步电动机矢量控制系统设计

2015-06-01杨金龙张卫芳宋红超

电气开关 2015年5期
关键词:扇区变频矢量

杨金龙,张卫芳,宋红超

(黑龙江科技大学电气与控制工程学院,黑龙江 哈尔滨 150022)

异步电动机矢量控制系统设计

杨金龙,张卫芳,宋红超

(黑龙江科技大学电气与控制工程学院,黑龙江 哈尔滨 150022)

电压空间矢量脉宽调制技术广泛应用于市场中的电机控制系统中。对其进行了详细的介绍,设计了以Alteral公司的EP4CE15F17C8N芯片为主控芯片的异步电动机矢量控制系统的实验装置,对系统的软件设计及其硬件的组成进行了说明,并通过Matlab/simulink对系统进行建模仿真实验。结合仿真结果分析,方案达到了预期的目的。

SVPWM;FPGA;矢量控制系统

1 引言

现代变频调速系统,因其优质的启动、调速、制动的性能而被广泛的应用于各类企业中。提到电压矢量脉宽调制技术的同时,不得不提到另一项之前广泛应用的正弦脉宽调试技术(SPWM),后者存在着明显的缺陷,即对直流电压的利用率低、由于载波频率影响造成的较大的开关损耗、电机低速运行时会产生转矩脉动。设计中使用的 EP4CE15F17C8N是Alteral公司推出的一款Cyclone IV代FPGA。该FPGA具有15408个逻辑单位,516096个RAM位,165个输入输出单元,963个LAB/CLB数,可利用的资源丰富,通过SDRAM技术对FPGA进行动态配置。论文介绍了SVPWM的基本原理、算法和如何通过FPGA的实现,并给出了具体的仿真结果。

2 SVPWM的基本原理

SVPWM从根本上来讲是对开关函数的一种优化,主要是面向以交流电动机为负载的三相对称系统。总体来说,SVPWM将逆变器与电动机统一为一个整体,通过8个基本的电压矢量来合成我们希望得到的电压矢量,并以三相波形整体生成为前提,以电机磁链和电压的关系为原则,以此实现电动机的变频调速。

2.1 两电平SVPWM基本原理

三相电压源逆变器的拓扑图如图1所示。一般情况逆变器六拍运行,即含有六个开关管,每相隔60°切换一次,每相桥臂均有开关两种模式,因此一个周期内共有8种开关状态,如图2所示。其中,0的含义为下开关闭合,上开关关断;1的含义与其相反。其中的6个非零矢量U1(001)~U6(110)空间互差 ,每个扇区由两个非零矢量组成。U7(111)和U0(000)位于图形中心,每一个空间矢量的幅值均为2Ud/3。

图1 三相电压源逆变器拓扑结构

图2 SVPWM空间矢量及扇区划分

2.2 矢量的基本作用时间

现设定参考向量电压矢量落入区间Ⅱ,可知参考电压向量Uref由U4、U5和两个零矢量电压U0、U7合成,如图2所示,由此可知,合成向量需满足下列条件:

(1)

T=Tx+Ty+Tz

(2)

式中,Tx、Tv、Tz分别表示U4、U5作用时间和开关截至的时间,三项的和T表示采样周期,其值相当于一半的切换周期。

由此可得Tx和Ty。

(3)

(4)

由此可计算得,当Uref出现在其他扇区的电压空间矢量时,与之对应的Tx和Tv如表1所示,同时为了达到减少器件的开关次数的目的,由式(1)和式(2)可知,使U0和U7各占一半的时间即

(5)

2.3 扇区判断的方法

与此同时,我们便需要确定Uref所处的扇区,如图2所示以及Uref在α-β坐标系上的分量,通过下列式子进行计算S0、S1、S2:

S0=Uβ

(6)

S1=sin60°Uα-sin30°Uβ

(7)

S2=-sin60°Uα-sin30°Uβ

(8)

因此扇区号Sec:

Sec=4sign(S2)+2sign(S1)+sign(S0)

(9)

根据Sec值并结合表1,即可确定扇区号。

表1 Sec值与扇区号的关系

3 系统硬件结构以及FPGA的设计实现

3.1 系统硬件结构

该电路硬件整体可分为两个模块,一个是主电路模块,另一个是控制模块。主电路模块包括整流电路,滤波电路和IPM模块构成的逆变模块,控制模块是基于FPGA(EP4CE15F17C8N)为核心的控制电路,还包括电流检测模块,光电编码器充当的转速检测模块,光耦6N137组成的隔离电路,反相器DM74LS563构成的反相器电路对信号进行反向。系统调节方式采用电流内环,转速外环的双闭环模式,其结构框图如图3所示。

图3 系统硬件结构图

3.2 Matlab/Simulink的模型建立与仿真

系统整体仿真模型如图4所示,仿真模型中SVPWM生成模块图和扇选择模块图分别如图5和图6所示,经仿真后逆变器输出线电压和相电压的波形如图7和图8所示。

图4 系统整体仿真模型

图5 SVPWM生成模块图

图6 扇区选择模块

具体仿真结果如下所示。

图7 输出线电压波形图

图8 输出相电压波形图

给定转速条件下,空载起动,在t=0.1s 时,负载Tm 从 0 变化到 11.3N*M 时的线电压、相电压、转矩、转速的波形图如图 9所示,仿真时间是 0.5s。

图9

由仿真结果可知,电机响应速度良好,进一步证实了模型的正确性和理论的可行性。

3.3 FPGA设计实现

依据以上理论分析和仿真分析,通过FPGA变成进行功能实现:FPGA程序通过原理图编写,将研究内容划区域判断模块,数据所存模块,时间分配模块,死区模块,开关序列生成模块等,研究采用Alteral公司FPGA作为主控芯片,并借助Quartus软件对程序进行编程实现,下附部分程序:

component sector_scan is

port(

-- input port

clk : in std_logic;

reset : in std_logic;

en : in std_logic;

ma : in std_logic_vector(alfa_beta_width-1 downto 0);

mb : in std_logic_vector(alfa_beta_width-1 downto 0);

syn_pulse : in std_logic;

-- output port

ta : out std_logic_vector(alfa_beta_width-1 downto 0);

tb : out std_logic_vector(alfa_beta_width-1 downto 0);

Vx: out std_logic_vector(2 downto 0);

Vy: out std_logic_vector(2 downto 0)

);

end component;

4 结论

系统运用电压空间矢量脉宽调制技术为核心控制技术,运用FPGA对控制策略进行实现,程序采用的是VHDL语言进行编写,系统仿真基于Matlab和Quartus平台,对变频调速系统进行了详细的仿真,仿真结果显示证明了方案的可靠性和可行性。

[1] 谭国俊,张旭隆,曾言敬,等.基于DSP的异步电动机SVPWM变频调速系统的设计[J] .工矿自动化,2009(2):19-22.

[2] 曾允文.变频调速SVPWM技术的原理、算法与应用[M].北京:机械工业出版社,2010:10.

[3] 陈兮,周凤星,张坤.SVPWM变频技术理论建模与控制算法FPGA实现[J] .组合机床与自动化加工技术,2014(3):86-89.

[4] 洪乃刚.电力电子、电机控制系统的建模与仿真[M].北京:机械工业出版社,2010:1.

[5] 沈涛,李桥梁.基于SVPWM的永磁同步电机控制系统的仿真研究[J].电气开关,2008(1):19-21.

Design of the Vector Control System for A Synchronous Motor

YANGJin-long,ZHANGWei-fang,SONGHong-chao

(School of Electrical and Control Engineering of HUST,Harbin 150022,China)

In the power transmission control system for the market,the SVPWM is widely used.The paper analyzed the principle of Space vector pulse width modulation,designed SVPWM frequency conversion speed regulation system which took EP4CE15F17C8N of Alteral chip as controller,introduced the software realization and the hardware structure of the system,built up simulation model of the system in the Matlab software.Combine with the result of the simulation,this scheme contains the advantage of the SVPWM and FPGA,has important application value in the motion control system.

SVPWM;FPGA;vector control system

1004-289X(2015)05-0012-04

黑龙江省教育厅项目

TM34

B

猜你喜欢

扇区变频矢量
分阶段调整增加扇区通行能力策略
一种适用于高轨空间的GNSS矢量跟踪方案设计
矢量三角形法的应用
全封闭紧凑型变频调速电机设计
大型变频调速异步电机的设计
管制扇区复杂网络特性与抗毁性分析
U盘故障排除经验谈
基于变频式的宽输入开关电源设计研究
基于贝叶斯估计的短时空域扇区交通流量预测
基于矢量最优估计的稳健测向方法